diff options
Diffstat (limited to 'src/systemc/tests/systemc/communication/ports/test02')
-rw-r--r-- | src/systemc/tests/systemc/communication/ports/test02/golden/test02.log | 2 | ||||
-rw-r--r-- | src/systemc/tests/systemc/communication/ports/test02/test02.cpp | 93 |
2 files changed, 95 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/communication/ports/test02/golden/test02.log b/src/systemc/tests/systemc/communication/ports/test02/golden/test02.log new file mode 100644 index 000000000..171833b33 --- /dev/null +++ b/src/systemc/tests/systemc/communication/ports/test02/golden/test02.log @@ -0,0 +1,2 @@ +SystemC Simulation +binding of models to parent model is completed diff --git a/src/systemc/tests/systemc/communication/ports/test02/test02.cpp b/src/systemc/tests/systemc/communication/ports/test02/test02.cpp new file mode 100644 index 000000000..9db0a12ad --- /dev/null +++ b/src/systemc/tests/systemc/communication/ports/test02/test02.cpp @@ -0,0 +1,93 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test02.cpp -- + + Original Author: Ucar Aziz, Synopsys, Inc., 2002-02-15 + Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// test of ports binding in hierarchical model + +#include "systemc.h" + +SC_MODULE( mod_a ) +{ + + sc_in<int> in; + sc_out<int> out; + + SC_CTOR( mod_a ) + { } +}; + +SC_MODULE( mod_b ) +{ + + sc_in<int> in; + sc_out<int> out; + + SC_CTOR( mod_b ) + { } +}; + +// parent model +SC_MODULE( mod_c ) +{ + + sc_in<int> input; + sc_out<int> output; + sc_signal<int> buf; + mod_a module_a; + mod_b module_b; + + SC_CTOR( mod_c ): + module_a("module_a"), + module_b("module_b") + { + + module_a.in(input); + module_a.out(buf); + module_b.in(buf); + module_b.out(output); + + } +}; + + +int +sc_main( int, char*[] ) +{ + mod_c c("c"); + cout << "binding of models to parent model is completed\n"; + return 0; +} |