summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/communication/sc_signal/register_port
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/communication/sc_signal/register_port')
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test01/golden/test01.log7
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test.h84
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test01.cpp51
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test02/golden/test02.log7
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test.h84
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test02.cpp51
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test03/golden/test03.log7
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test.h84
-rw-r--r--src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test03.cpp51
9 files changed, 426 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/golden/test01.log b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/golden/test01.log
new file mode 100644
index 000000000..1b061bb5f
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/golden/test01.log
@@ -0,0 +1,7 @@
+SystemC Simulation
+
+Error: (E115) sc_signal<T> cannot have more than one driver:
+ signal `t1.signal_0' (sc_signal)
+ first driver `t1.w2.port_0' (sc_out)
+ second driver `t1.w1.port_0' (sc_out)
+In file: <removed by verify.pl>
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test.h b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test.h
new file mode 100644
index 000000000..77f579f41
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test.h
@@ -0,0 +1,84 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test.h --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port()
+
+#ifndef TEST_H
+#define TEST_H
+
+#include "systemc.h"
+
+template <class T>
+class writer
+: public sc_module
+{
+public:
+
+ // output port
+ sc_out<T> out;
+
+ // constructor
+ writer( sc_module_name )
+ {}
+};
+
+template <class T>
+class top
+: public sc_module
+{
+public:
+
+ // channel
+ sc_signal<T> sig;
+
+ // modules
+ writer<T> w1;
+ writer<T> w2;
+
+ // constructor
+ top( sc_module_name )
+ : sig(), w1( "w1" ), w2( "w2" )
+ {
+ w1.out( sig );
+ w2.out( sig );
+ }
+};
+
+#endif
+
+// Taf!
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test01.cpp b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test01.cpp
new file mode 100644
index 000000000..3e343bf86
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test01/test01.cpp
@@ -0,0 +1,51 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test01.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port() -- T
+
+#include "test.h"
+
+int
+sc_main( int, char*[] )
+{
+ top<int> t1( "t1" );
+
+ sc_start(0, SC_NS);
+
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/golden/test02.log b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/golden/test02.log
new file mode 100644
index 000000000..1b061bb5f
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/golden/test02.log
@@ -0,0 +1,7 @@
+SystemC Simulation
+
+Error: (E115) sc_signal<T> cannot have more than one driver:
+ signal `t1.signal_0' (sc_signal)
+ first driver `t1.w2.port_0' (sc_out)
+ second driver `t1.w1.port_0' (sc_out)
+In file: <removed by verify.pl>
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test.h b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test.h
new file mode 100644
index 000000000..77f579f41
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test.h
@@ -0,0 +1,84 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test.h --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port()
+
+#ifndef TEST_H
+#define TEST_H
+
+#include "systemc.h"
+
+template <class T>
+class writer
+: public sc_module
+{
+public:
+
+ // output port
+ sc_out<T> out;
+
+ // constructor
+ writer( sc_module_name )
+ {}
+};
+
+template <class T>
+class top
+: public sc_module
+{
+public:
+
+ // channel
+ sc_signal<T> sig;
+
+ // modules
+ writer<T> w1;
+ writer<T> w2;
+
+ // constructor
+ top( sc_module_name )
+ : sig(), w1( "w1" ), w2( "w2" )
+ {
+ w1.out( sig );
+ w2.out( sig );
+ }
+};
+
+#endif
+
+// Taf!
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test02.cpp b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test02.cpp
new file mode 100644
index 000000000..f62a0e86b
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test02/test02.cpp
@@ -0,0 +1,51 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test02.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port() -- bool
+
+#include "test.h"
+
+int
+sc_main( int, char*[] )
+{
+ top<bool> t1( "t1" );
+
+ sc_start(0, SC_NS);
+
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/golden/test03.log b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/golden/test03.log
new file mode 100644
index 000000000..1b061bb5f
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/golden/test03.log
@@ -0,0 +1,7 @@
+SystemC Simulation
+
+Error: (E115) sc_signal<T> cannot have more than one driver:
+ signal `t1.signal_0' (sc_signal)
+ first driver `t1.w2.port_0' (sc_out)
+ second driver `t1.w1.port_0' (sc_out)
+In file: <removed by verify.pl>
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test.h b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test.h
new file mode 100644
index 000000000..77f579f41
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test.h
@@ -0,0 +1,84 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test.h --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port()
+
+#ifndef TEST_H
+#define TEST_H
+
+#include "systemc.h"
+
+template <class T>
+class writer
+: public sc_module
+{
+public:
+
+ // output port
+ sc_out<T> out;
+
+ // constructor
+ writer( sc_module_name )
+ {}
+};
+
+template <class T>
+class top
+: public sc_module
+{
+public:
+
+ // channel
+ sc_signal<T> sig;
+
+ // modules
+ writer<T> w1;
+ writer<T> w2;
+
+ // constructor
+ top( sc_module_name )
+ : sig(), w1( "w1" ), w2( "w2" )
+ {
+ w1.out( sig );
+ w2.out( sig );
+ }
+};
+
+#endif
+
+// Taf!
diff --git a/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test03.cpp b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test03.cpp
new file mode 100644
index 000000000..cc94eed68
--- /dev/null
+++ b/src/systemc/tests/systemc/communication/sc_signal/register_port/test03/test03.cpp
@@ -0,0 +1,51 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test03.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// sc_signal test;
+// interface methods -- register_port() -- sc_logic
+
+#include "test.h"
+
+int
+sc_main( int, char*[] )
+{
+ top<sc_logic> t1( "t1" );
+
+ sc_start(0, SC_NS);
+
+ return 0;
+}