summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc10
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc10')
-rw-r--r--src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc107502
1 files changed, 7502 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc10 b/src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc10
new file mode 100644
index 000000000..a094992fa
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/fx/ranges/golden/test.log.msvc10
@@ -0,0 +1,7502 @@
+SystemC Simulation
+************** range_FX_TTT
+0 0.5 1.5 0b01.1
+1 0.25 1.75 0b01.11
+2 0.125 1.875 0b01.111
+3 0.0625 1.9375 0b01.1111
+4 0.03125 1.96875 0b01.11111
+5 0.015625 1.98438 0b01.111111
+6 0.0078125 1.99219 0b01.1111111
+7 0.00390625 1.99609 0b01.11111111
+8 0.00195313 1.99805 0b01.111111111
+9 0.000976563 1.99902 0b01.1111111111
+10 0.000488281 1.99951 0b01.11111111111
+11 0.000244141 1.99976 0b01.111111111111
+12 0.00012207 1.99988 0b01.1111111111111
+13 6.10352e-005 1.99994 0b01.11111111111111
+14 3.05176e-005 1.99997 0b01.111111111111111
+15 1.52588e-005 1.99998 0b01.1111111111111111
+16 7.62939e-006 1.99999 0b01.11111111111111111
+17 3.8147e-006 2 0b01.111111111111111111
+18 1.90735e-006 2 0b01.1111111111111111111
+19 9.53674e-007 2 0b01.11111111111111111111
+20 4.76837e-007 2 0b01.111111111111111111111
+21 2.38419e-007 2 0b01.1111111111111111111111
+22 1.19209e-007 2 0b01.11111111111111111111111
+23 5.96046e-008 2 0b01.111111111111111111111111
+24 2.98023e-008 2 0b01.1111111111111111111111111
+25 1.49012e-008 2 0b01.11111111111111111111111111
+26 7.45058e-009 2 0b01.111111111111111111111111111
+27 3.72529e-009 2 0b01.1111111111111111111111111111
+28 1.86265e-009 2 0b01.11111111111111111111111111111
+29 9.31323e-010 2 0b01.111111111111111111111111111111
+30 4.65661e-010 2 0b01.1111111111111111111111111111111
+31 2.32831e-010 2 0b01.11111111111111111111111111111111
+32 1.16415e-010 2 0b01.111111111111111111111111111111111
+33 5.82077e-011 2 0b01.1111111111111111111111111111111111
+34 2.91038e-011 2 0b01.11111111111111111111111111111111111
+35 1.45519e-011 2 0b01.111111111111111111111111111111111111
+36 7.27596e-012 2 0b01.1111111111111111111111111111111111111
+37 3.63798e-012 2 0b01.11111111111111111111111111111111111111
+38 1.81899e-012 2 0b01.111111111111111111111111111111111111111
+39 9.09495e-013 2 0b01.1111111111111111111111111111111111111111
+40 4.54747e-013 2 0b01.11111111111111111111111111111111111111111
+41 2.27374e-013 2 0b01.111111111111111111111111111111111111111111
+42 1.13687e-013 2 0b01.1111111111111111111111111111111111111111111
+43 5.68434e-014 2 0b01.11111111111111111111111111111111111111111111
+44 2.84217e-014 2 0b01.111111111111111111111111111111111111111111111
+45 1.42109e-014 2 0b01.1111111111111111111111111111111111111111111111
+46 7.10543e-015 2 0b01.11111111111111111111111111111111111111111111111
+47 3.55271e-015 2 0b01.111111111111111111111111111111111111111111111111
+48 1.77636e-015 2 0b01.1111111111111111111111111111111111111111111111111
+49 8.88178e-016 2 0b01.11111111111111111111111111111111111111111111111111
+50 4.44089e-016 2 0b01.111111111111111111111111111111111111111111111111111
+51 2.22045e-016 2 0b01.1111111111111111111111111111111111111111111111111111
+52 1.11022e-016 2 0b01.11111111111111111111111111111111111111111111111111111
+53 5.55112e-017 2 0b01.111111111111111111111111111111111111111111111111111111
+54 2.77556e-017 2 0b01.1111111111111111111111111111111111111111111111111111111
+55 1.38778e-017 2 0b01.11111111111111111111111111111111111111111111111111111111
+56 6.93889e-018 2 0b01.111111111111111111111111111111111111111111111111111111111
+57 3.46945e-018 2 0b01.1111111111111111111111111111111111111111111111111111111111
+58 1.73472e-018 2 0b01.11111111111111111111111111111111111111111111111111111111111
+59 8.67362e-019 2 0b01.111111111111111111111111111111111111111111111111111111111111
+60 4.33681e-019 2 0b01.1111111111111111111111111111111111111111111111111111111111111
+61 2.1684e-019 2 0b01.11111111111111111111111111111111111111111111111111111111111111
+62 1.0842e-019 2 0b01.111111111111111111111111111111111111111111111111111111111111111
+63 5.42101e-020 2 0b01.1111111111111111111111111111111111111111111111111111111111111111
+64 2.71051e-020 2 0b01.11111111111111111111111111111111111111111111111111111111111111111
+65 1.35525e-020 2 0b01.111111111111111111111111111111111111111111111111111111111111111111
+66 6.77626e-021 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111
+67 3.38813e-021 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111
+68 1.69407e-021 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111
+69 8.47033e-022 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111
+70 4.23516e-022 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111
+71 2.11758e-022 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111
+72 1.05879e-022 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111
+73 5.29396e-023 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111
+74 2.64698e-023 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111
+75 1.32349e-023 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111
+76 6.61744e-024 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111
+77 3.30872e-024 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 1.65436e-024 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 8.27181e-025 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 4.1359e-025 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 2.06795e-025 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 1.03398e-025 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 5.16988e-026 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 2.58494e-026 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 1.29247e-026 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 6.46235e-027 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 3.23117e-027 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 1.61559e-027 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 8.07794e-028 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 4.03897e-028 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 2.01948e-028 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 1.00974e-028 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 5.04871e-029 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 2.52435e-029 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 1.26218e-029 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 6.31089e-030 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 3.15544e-030 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 1.57772e-030 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 7.88861e-031 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 3.9443e-031 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 1.97215e-031 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 9.86076e-032 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 4.93038e-032 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 2.46519e-032 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 1.2326e-032 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 6.16298e-033 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 3.08149e-033 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 1.54074e-033 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 7.70372e-034 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 3.85186e-034 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 1.92593e-034 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 9.62965e-035 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 4.81482e-035 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 2.40741e-035 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 1.20371e-035 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 6.01853e-036 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 3.00927e-036 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 1.50463e-036 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 7.52316e-037 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 3.76158e-037 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 1.88079e-037 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 9.40395e-038 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 4.70198e-038 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 2.35099e-038 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 1.17549e-038 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 5.87747e-039 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 2.93874e-039 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 1.46937e-039 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 7.34684e-040 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 3.67342e-040 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 1.83671e-040 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 9.18355e-041 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 4.59177e-041 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 2.29589e-041 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 1.14794e-041 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 5.73972e-042 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 2.86986e-042 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 1.43493e-042 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 7.17465e-043 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 3.58732e-043 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 1.79366e-043 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 8.96831e-044 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 4.48416e-044 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 2.24208e-044 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 1.12104e-044 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 5.60519e-045 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 2.8026e-045 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 1.4013e-045 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 7.00649e-046 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 3.50325e-046 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 1.75162e-046 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 8.75812e-047 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 4.37906e-047 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 2.18953e-047 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 1.09476e-047 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 5.47382e-048 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 2.73691e-048 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 1.36846e-048 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 6.84228e-049 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 3.42114e-049 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 1.71057e-049 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 8.55285e-050 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 4.27642e-050 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 2.13821e-050 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 1.06911e-050 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 5.34553e-051 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 2.67276e-051 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 1.33638e-051 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 6.68191e-052 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 3.34096e-052 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 1.67048e-052 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 8.35239e-053 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 4.17619e-053 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 2.0881e-053 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 1.04405e-053 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 5.22024e-054 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 2.61012e-054 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 1.30506e-054 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 6.5253e-055 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 3.26265e-055 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 1.63133e-055 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 8.15663e-056 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 4.07832e-056 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 2.03916e-056 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 1.01958e-056 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 5.09789e-057 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 2.54895e-057 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 1.27447e-057 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 6.37237e-058 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 3.18618e-058 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 1.59309e-058 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 7.96546e-059 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 3.98273e-059 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 1.99136e-059 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 9.95682e-060 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 4.97841e-060 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 2.48921e-060 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 1.2446e-060 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 6.22302e-061 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 3.11151e-061 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 1.55575e-061 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 7.77877e-062 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 3.88938e-062 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 1.94469e-062 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 9.72346e-063 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 4.86173e-063 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 2.43087e-063 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 1.21543e-063 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 6.07716e-064 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 3.03858e-064 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 1.51929e-064 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 7.59645e-065 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 3.79823e-065 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 1.89911e-065 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 9.49557e-066 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 4.74778e-066 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 2.37389e-066 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 1.18695e-066 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 5.93473e-067 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 2.96736e-067 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 1.48368e-067 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 7.41841e-068 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 3.70921e-068 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 1.8546e-068 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 9.27302e-069 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 4.63651e-069 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 2.31825e-069 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 1.15913e-069 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 5.79563e-070 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 2.89782e-070 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 1.44891e-070 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 7.24454e-071 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 3.62227e-071 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 1.81114e-071 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 9.05568e-072 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 4.52784e-072 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 2.26392e-072 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 1.13196e-072 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 5.6598e-073 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 2.8299e-073 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 1.41495e-073 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 7.07475e-074 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 3.53737e-074 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 1.76869e-074 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 8.84344e-075 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 4.42172e-075 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 2.21086e-075 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 1.10543e-075 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 5.52715e-076 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 2.76357e-076 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 1.38179e-076 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 6.90893e-077 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 3.45447e-077 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 1.72723e-077 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 8.63617e-078 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 4.31808e-078 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 2.15904e-078 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 1.07952e-078 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 5.39761e-079 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 2.6988e-079 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 1.3494e-079 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 6.74701e-080 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 3.3735e-080 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 1.68675e-080 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 8.43376e-081 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 4.21688e-081 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 2.10844e-081 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 1.05422e-081 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 5.2711e-082 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 2.63555e-082 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 1.31777e-082 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 6.58887e-083 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 3.29444e-083 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 1.64722e-083 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 8.23609e-084 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 4.11805e-084 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 2.05902e-084 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 1.02951e-084 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 5.14756e-085 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 2.57378e-085 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 1.28689e-085 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 6.43445e-086 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 3.21722e-086 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 1.60861e-086 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 8.04306e-087 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 4.02153e-087 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 2.01076e-087 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 1.00538e-087 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 5.02691e-088 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 2.51346e-088 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 1.25673e-088 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 6.28364e-089 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 3.14182e-089 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 1.57091e-089 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 7.85455e-090 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 3.92727e-090 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 1.96364e-090 2 0b01.1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 9.81819e-091 2 0b01.11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 4.90909e-091 2 0b01.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 0 1 0b000000000000000000000000000000001
+1 0 1 0b000000000000000000000000000000001
+2 0 1 0b000000000000000000000000000000001
+3 0 1 0b000000000000000000000000000000001
+4 0 1 0b000000000000000000000000000000001
+5 0 1 0b000000000000000000000000000000001
+6 0 1 0b000000000000000000000000000000001
+7 0 1 0b000000000000000000000000000000001
+8 0 1 0b000000000000000000000000000000001
+9 0 1 0b000000000000000000000000000000001
+10 0 1 0b000000000000000000000000000000001
+11 0 1 0b000000000000000000000000000000001
+12 0 1 0b000000000000000000000000000000001
+13 0 1 0b000000000000000000000000000000001
+14 0 1 0b000000000000000000000000000000001
+15 0 1 0b000000000000000000000000000000001
+16 0 1 0b000000000000000000000000000000001
+17 0 1 0b000000000000000000000000000000001
+18 0 1 0b000000000000000000000000000000001
+19 0 1 0b000000000000000000000000000000001
+20 0 1 0b000000000000000000000000000000001
+21 0 1 0b000000000000000000000000000000001
+22 0 1 0b000000000000000000000000000000001
+23 0 1 0b000000000000000000000000000000001
+24 0 1 0b000000000000000000000000000000001
+25 0 1 0b000000000000000000000000000000001
+26 0 1 0b000000000000000000000000000000001
+27 0 1 0b000000000000000000000000000000001
+28 0 1 0b000000000000000000000000000000001
+29 0 1 0b000000000000000000000000000000001
+30 0 1 0b000000000000000000000000000000001
+31 0 1 0b000000000000000000000000000000001
+32 0 1 0b000000000000000000000000000000001
+33 0 1 0b000000000000000000000000000000001
+34 0 1 0b000000000000000000000000000000001
+35 0 1 0b000000000000000000000000000000001
+36 0 1 0b000000000000000000000000000000001
+37 0 1 0b000000000000000000000000000000001
+38 0 1 0b000000000000000000000000000000001
+39 0 1 0b000000000000000000000000000000001
+40 0 1 0b000000000000000000000000000000001
+41 0 1 0b000000000000000000000000000000001
+42 0 1 0b000000000000000000000000000000001
+43 0 1 0b000000000000000000000000000000001
+44 0 1 0b000000000000000000000000000000001
+45 0 1 0b000000000000000000000000000000001
+46 0 1 0b000000000000000000000000000000001
+47 0 1 0b000000000000000000000000000000001
+48 0 1 0b000000000000000000000000000000001
+49 0 1 0b000000000000000000000000000000001
+50 0 1 0b000000000000000000000000000000001
+51 0 1 0b000000000000000000000000000000001
+52 0 1 0b000000000000000000000000000000001
+53 0 1 0b000000000000000000000000000000001
+54 0 1 0b000000000000000000000000000000001
+55 0 1 0b000000000000000000000000000000001
+56 0 1 0b000000000000000000000000000000001
+57 0 1 0b000000000000000000000000000000001
+58 0 1 0b000000000000000000000000000000001
+59 0 1 0b000000000000000000000000000000001
+60 0 1 0b000000000000000000000000000000001
+61 0 1 0b000000000000000000000000000000001
+62 0 1 0b000000000000000000000000000000001
+63 0 1 0b000000000000000000000000000000001
+64 0 1 0b000000000000000000000000000000001
+65 0 1 0b000000000000000000000000000000001
+66 0 1 0b000000000000000000000000000000001
+67 0 1 0b000000000000000000000000000000001
+68 0 1 0b000000000000000000000000000000001
+69 0 1 0b000000000000000000000000000000001
+70 0 1 0b000000000000000000000000000000001
+71 0 1 0b000000000000000000000000000000001
+72 0 1 0b000000000000000000000000000000001
+73 0 1 0b000000000000000000000000000000001
+74 0 1 0b000000000000000000000000000000001
+75 0 1 0b000000000000000000000000000000001
+76 0 1 0b000000000000000000000000000000001
+77 0 1 0b000000000000000000000000000000001
+78 0 1 0b000000000000000000000000000000001
+79 0 1 0b000000000000000000000000000000001
+80 0 1 0b000000000000000000000000000000001
+81 0 1 0b000000000000000000000000000000001
+82 0 1 0b000000000000000000000000000000001
+83 0 1 0b000000000000000000000000000000001
+84 0 1 0b000000000000000000000000000000001
+85 0 1 0b000000000000000000000000000000001
+86 0 1 0b000000000000000000000000000000001
+87 0 1 0b000000000000000000000000000000001
+88 0 1 0b000000000000000000000000000000001
+89 0 1 0b000000000000000000000000000000001
+90 0 1 0b000000000000000000000000000000001
+91 0 1 0b000000000000000000000000000000001
+92 0 1 0b000000000000000000000000000000001
+93 0 1 0b000000000000000000000000000000001
+94 0 1 0b000000000000000000000000000000001
+95 0 1 0b000000000000000000000000000000001
+96 0 1 0b000000000000000000000000000000001
+97 0 1 0b000000000000000000000000000000001
+98 0 1 0b000000000000000000000000000000001
+99 0 1 0b000000000000000000000000000000001
+100 0 1 0b000000000000000000000000000000001
+101 0 1 0b000000000000000000000000000000001
+102 0 1 0b000000000000000000000000000000001
+103 0 1 0b000000000000000000000000000000001
+104 0 1 0b000000000000000000000000000000001
+105 0 1 0b000000000000000000000000000000001
+106 0 1 0b000000000000000000000000000000001
+107 0 1 0b000000000000000000000000000000001
+108 0 1 0b000000000000000000000000000000001
+109 0 1 0b000000000000000000000000000000001
+110 0 1 0b000000000000000000000000000000001
+111 0 1 0b000000000000000000000000000000001
+112 0 1 0b000000000000000000000000000000001
+113 0 1 0b000000000000000000000000000000001
+114 0 1 0b000000000000000000000000000000001
+115 0 1 0b000000000000000000000000000000001
+116 0 1 0b000000000000000000000000000000001
+117 0 1 0b000000000000000000000000000000001
+118 0 1 0b000000000000000000000000000000001
+119 0 1 0b000000000000000000000000000000001
+120 0 1 0b000000000000000000000000000000001
+121 0 1 0b000000000000000000000000000000001
+122 0 1 0b000000000000000000000000000000001
+123 0 1 0b000000000000000000000000000000001
+124 0 1 0b000000000000000000000000000000001
+125 0 1 0b000000000000000000000000000000001
+126 0 1 0b000000000000000000000000000000001
+127 0 1 0b000000000000000000000000000000001
+128 0 1 0b000000000000000000000000000000001
+129 0 1 0b000000000000000000000000000000001
+130 0 1 0b000000000000000000000000000000001
+131 0 1 0b000000000000000000000000000000001
+132 0 1 0b000000000000000000000000000000001
+133 0 1 0b000000000000000000000000000000001
+134 0 1 0b000000000000000000000000000000001
+135 0 1 0b000000000000000000000000000000001
+136 0 1 0b000000000000000000000000000000001
+137 0 1 0b000000000000000000000000000000001
+138 0 1 0b000000000000000000000000000000001
+139 0 1 0b000000000000000000000000000000001
+140 0 1 0b000000000000000000000000000000001
+141 0 1 0b000000000000000000000000000000001
+142 0 1 0b000000000000000000000000000000001
+143 0 1 0b000000000000000000000000000000001
+144 0 1 0b000000000000000000000000000000001
+145 0 1 0b000000000000000000000000000000001
+146 0 1 0b000000000000000000000000000000001
+147 0 1 0b000000000000000000000000000000001
+148 0 1 0b000000000000000000000000000000001
+149 0 1 0b000000000000000000000000000000001
+150 0 1 0b000000000000000000000000000000001
+151 0 1 0b000000000000000000000000000000001
+152 0 1 0b000000000000000000000000000000001
+153 0 1 0b000000000000000000000000000000001
+154 0 1 0b000000000000000000000000000000001
+155 0 1 0b000000000000000000000000000000001
+156 0 1 0b000000000000000000000000000000001
+157 0 1 0b000000000000000000000000000000001
+158 0 1 0b000000000000000000000000000000001
+159 0 1 0b000000000000000000000000000000001
+160 0 1 0b000000000000000000000000000000001
+161 0 1 0b000000000000000000000000000000001
+162 0 1 0b000000000000000000000000000000001
+163 0 1 0b000000000000000000000000000000001
+164 0 1 0b000000000000000000000000000000001
+165 0 1 0b000000000000000000000000000000001
+166 0 1 0b000000000000000000000000000000001
+167 0 1 0b000000000000000000000000000000001
+168 0 1 0b000000000000000000000000000000001
+169 0 1 0b000000000000000000000000000000001
+170 0 1 0b000000000000000000000000000000001
+171 0 1 0b000000000000000000000000000000001
+172 0 1 0b000000000000000000000000000000001
+173 0 1 0b000000000000000000000000000000001
+174 0 1 0b000000000000000000000000000000001
+175 0 1 0b000000000000000000000000000000001
+176 0 1 0b000000000000000000000000000000001
+177 0 1 0b000000000000000000000000000000001
+178 0 1 0b000000000000000000000000000000001
+179 0 1 0b000000000000000000000000000000001
+180 0 1 0b000000000000000000000000000000001
+181 0 1 0b000000000000000000000000000000001
+182 0 1 0b000000000000000000000000000000001
+183 0 1 0b000000000000000000000000000000001
+184 0 1 0b000000000000000000000000000000001
+185 0 1 0b000000000000000000000000000000001
+186 0 1 0b000000000000000000000000000000001
+187 0 1 0b000000000000000000000000000000001
+188 0 1 0b000000000000000000000000000000001
+189 0 1 0b000000000000000000000000000000001
+190 0 1 0b000000000000000000000000000000001
+191 0 1 0b000000000000000000000000000000001
+192 0 1 0b000000000000000000000000000000001
+193 0 1 0b000000000000000000000000000000001
+194 0 1 0b000000000000000000000000000000001
+195 0 1 0b000000000000000000000000000000001
+196 0 1 0b000000000000000000000000000000001
+197 0 1 0b000000000000000000000000000000001
+198 0 1 0b000000000000000000000000000000001
+199 0 1 0b000000000000000000000000000000001
+200 0 1 0b000000000000000000000000000000001
+201 0 1 0b000000000000000000000000000000001
+202 0 1 0b000000000000000000000000000000001
+203 0 1 0b000000000000000000000000000000001
+204 0 1 0b000000000000000000000000000000001
+205 0 1 0b000000000000000000000000000000001
+206 0 1 0b000000000000000000000000000000001
+207 0 1 0b000000000000000000000000000000001
+208 0 1 0b000000000000000000000000000000001
+209 0 1 0b000000000000000000000000000000001
+210 0 1 0b000000000000000000000000000000001
+211 0 1 0b000000000000000000000000000000001
+212 0 1 0b000000000000000000000000000000001
+213 0 1 0b000000000000000000000000000000001
+214 0 1 0b000000000000000000000000000000001
+215 0 1 0b000000000000000000000000000000001
+216 0 1 0b000000000000000000000000000000001
+217 0 1 0b000000000000000000000000000000001
+218 0 1 0b000000000000000000000000000000001
+219 0 1 0b000000000000000000000000000000001
+220 0 1 0b000000000000000000000000000000001
+221 0 1 0b000000000000000000000000000000001
+222 0 1 0b000000000000000000000000000000001
+223 0 1 0b000000000000000000000000000000001
+224 0 1 0b000000000000000000000000000000001
+225 0 1 0b000000000000000000000000000000001
+226 0 1 0b000000000000000000000000000000001
+227 0 1 0b000000000000000000000000000000001
+228 0 1 0b000000000000000000000000000000001
+229 0 1 0b000000000000000000000000000000001
+230 0 1 0b000000000000000000000000000000001
+231 0 1 0b000000000000000000000000000000001
+232 0 1 0b000000000000000000000000000000001
+233 0 1 0b000000000000000000000000000000001
+234 0 1 0b000000000000000000000000000000001
+235 0 1 0b000000000000000000000000000000001
+236 0 1 0b000000000000000000000000000000001
+237 0 1 0b000000000000000000000000000000001
+238 0 1 0b000000000000000000000000000000001
+239 0 1 0b000000000000000000000000000000001
+240 0 1 0b000000000000000000000000000000001
+241 0 1 0b000000000000000000000000000000001
+242 0 1 0b000000000000000000000000000000001
+243 0 1 0b000000000000000000000000000000001
+244 0 1 0b000000000000000000000000000000001
+245 0 1 0b000000000000000000000000000000001
+246 0 1 0b000000000000000000000000000000001
+247 0 1 0b000000000000000000000000000000001
+248 0 1 0b000000000000000000000000000000001
+249 0 1 0b000000000000000000000000000000001
+250 0 1 0b000000000000000000000000000000001
+251 0 1 0b000000000000000000000000000000001
+252 0 1 0b000000000000000000000000000000001
+253 0 1 0b000000000000000000000000000000001
+254 0 1 0b000000000000000000000000000000001
+255 0 1 0b000000000000000000000000000000001
+256 0 1 0b000000000000000000000000000000001
+257 0 1 0b000000000000000000000000000000001
+258 0 1 0b000000000000000000000000000000001
+259 0 1 0b000000000000000000000000000000001
+260 0 1 0b000000000000000000000000000000001
+261 0 1 0b000000000000000000000000000000001
+262 0 1 0b000000000000000000000000000000001
+263 0 1 0b000000000000000000000000000000001
+264 0 1 0b000000000000000000000000000000001
+265 0 1 0b000000000000000000000000000000001
+266 0 1 0b000000000000000000000000000000001
+267 0 1 0b000000000000000000000000000000001
+268 0 1 0b000000000000000000000000000000001
+269 0 1 0b000000000000000000000000000000001
+270 0 1 0b000000000000000000000000000000001
+271 0 1 0b000000000000000000000000000000001
+272 0 1 0b000000000000000000000000000000001
+273 0 1 0b000000000000000000000000000000001
+274 0 1 0b000000000000000000000000000000001
+275 0 1 0b000000000000000000000000000000001
+276 0 1 0b000000000000000000000000000000001
+277 0 1 0b000000000000000000000000000000001
+278 0 1 0b000000000000000000000000000000001
+279 0 1 0b000000000000000000000000000000001
+280 0 1 0b000000000000000000000000000000001
+281 0 1 0b000000000000000000000000000000001
+282 0 1 0b000000000000000000000000000000001
+283 0 1 0b000000000000000000000000000000001
+284 0 1 0b000000000000000000000000000000001
+285 0 1 0b000000000000000000000000000000001
+286 0 1 0b000000000000000000000000000000001
+287 0 1 0b000000000000000000000000000000001
+288 0 1 0b000000000000000000000000000000001
+289 0 1 0b000000000000000000000000000000001
+290 0 1 0b000000000000000000000000000000001
+291 0 1 0b000000000000000000000000000000001
+292 0 1 0b000000000000000000000000000000001
+293 0 1 0b000000000000000000000000000000001
+294 0 1 0b000000000000000000000000000000001
+295 0 1 0b000000000000000000000000000000001
+296 0 1 0b000000000000000000000000000000001
+297 0 1 0b000000000000000000000000000000001
+298 0 1 0b000000000000000000000000000000001
+299 0 1 0b000000000000000000000000000000001
+0 0 1 0b00000000000000000000000000000001
+1 0 1 0b00000000000000000000000000000001
+2 0 1 0b00000000000000000000000000000001
+3 0 1 0b00000000000000000000000000000001
+4 0 1 0b00000000000000000000000000000001
+5 0 1 0b00000000000000000000000000000001
+6 0 1 0b00000000000000000000000000000001
+7 0 1 0b00000000000000000000000000000001
+8 0 1 0b00000000000000000000000000000001
+9 0 1 0b00000000000000000000000000000001
+10 0 1 0b00000000000000000000000000000001
+11 0 1 0b00000000000000000000000000000001
+12 0 1 0b00000000000000000000000000000001
+13 0 1 0b00000000000000000000000000000001
+14 0 1 0b00000000000000000000000000000001
+15 0 1 0b00000000000000000000000000000001
+16 0 1 0b00000000000000000000000000000001
+17 0 1 0b00000000000000000000000000000001
+18 0 1 0b00000000000000000000000000000001
+19 0 1 0b00000000000000000000000000000001
+20 0 1 0b00000000000000000000000000000001
+21 0 1 0b00000000000000000000000000000001
+22 0 1 0b00000000000000000000000000000001
+23 0 1 0b00000000000000000000000000000001
+24 0 1 0b00000000000000000000000000000001
+25 0 1 0b00000000000000000000000000000001
+26 0 1 0b00000000000000000000000000000001
+27 0 1 0b00000000000000000000000000000001
+28 0 1 0b00000000000000000000000000000001
+29 0 1 0b00000000000000000000000000000001
+30 0 1 0b00000000000000000000000000000001
+31 0 1 0b00000000000000000000000000000001
+32 0 1 0b00000000000000000000000000000001
+33 0 1 0b00000000000000000000000000000001
+34 0 1 0b00000000000000000000000000000001
+35 0 1 0b00000000000000000000000000000001
+36 0 1 0b00000000000000000000000000000001
+37 0 1 0b00000000000000000000000000000001
+38 0 1 0b00000000000000000000000000000001
+39 0 1 0b00000000000000000000000000000001
+40 0 1 0b00000000000000000000000000000001
+41 0 1 0b00000000000000000000000000000001
+42 0 1 0b00000000000000000000000000000001
+43 0 1 0b00000000000000000000000000000001
+44 0 1 0b00000000000000000000000000000001
+45 0 1 0b00000000000000000000000000000001
+46 0 1 0b00000000000000000000000000000001
+47 0 1 0b00000000000000000000000000000001
+48 0 1 0b00000000000000000000000000000001
+49 0 1 0b00000000000000000000000000000001
+50 0 1 0b00000000000000000000000000000001
+51 0 1 0b00000000000000000000000000000001
+52 0 1 0b00000000000000000000000000000001
+53 0 1 0b00000000000000000000000000000001
+54 0 1 0b00000000000000000000000000000001
+55 0 1 0b00000000000000000000000000000001
+56 0 1 0b00000000000000000000000000000001
+57 0 1 0b00000000000000000000000000000001
+58 0 1 0b00000000000000000000000000000001
+59 0 1 0b00000000000000000000000000000001
+60 0 1 0b00000000000000000000000000000001
+61 0 1 0b00000000000000000000000000000001
+62 0 1 0b00000000000000000000000000000001
+63 0 1 0b00000000000000000000000000000001
+64 0 1 0b00000000000000000000000000000001
+65 0 1 0b00000000000000000000000000000001
+66 0 1 0b00000000000000000000000000000001
+67 0 1 0b00000000000000000000000000000001
+68 0 1 0b00000000000000000000000000000001
+69 0 1 0b00000000000000000000000000000001
+70 0 1 0b00000000000000000000000000000001
+71 0 1 0b00000000000000000000000000000001
+72 0 1 0b00000000000000000000000000000001
+73 0 1 0b00000000000000000000000000000001
+74 0 1 0b00000000000000000000000000000001
+75 0 1 0b00000000000000000000000000000001
+76 0 1 0b00000000000000000000000000000001
+77 0 1 0b00000000000000000000000000000001
+78 0 1 0b00000000000000000000000000000001
+79 0 1 0b00000000000000000000000000000001
+80 0 1 0b00000000000000000000000000000001
+81 0 1 0b00000000000000000000000000000001
+82 0 1 0b00000000000000000000000000000001
+83 0 1 0b00000000000000000000000000000001
+84 0 1 0b00000000000000000000000000000001
+85 0 1 0b00000000000000000000000000000001
+86 0 1 0b00000000000000000000000000000001
+87 0 1 0b00000000000000000000000000000001
+88 0 1 0b00000000000000000000000000000001
+89 0 1 0b00000000000000000000000000000001
+90 0 1 0b00000000000000000000000000000001
+91 0 1 0b00000000000000000000000000000001
+92 0 1 0b00000000000000000000000000000001
+93 0 1 0b00000000000000000000000000000001
+94 0 1 0b00000000000000000000000000000001
+95 0 1 0b00000000000000000000000000000001
+96 0 1 0b00000000000000000000000000000001
+97 0 1 0b00000000000000000000000000000001
+98 0 1 0b00000000000000000000000000000001
+99 0 1 0b00000000000000000000000000000001
+100 0 1 0b00000000000000000000000000000001
+101 0 1 0b00000000000000000000000000000001
+102 0 1 0b00000000000000000000000000000001
+103 0 1 0b00000000000000000000000000000001
+104 0 1 0b00000000000000000000000000000001
+105 0 1 0b00000000000000000000000000000001
+106 0 1 0b00000000000000000000000000000001
+107 0 1 0b00000000000000000000000000000001
+108 0 1 0b00000000000000000000000000000001
+109 0 1 0b00000000000000000000000000000001
+110 0 1 0b00000000000000000000000000000001
+111 0 1 0b00000000000000000000000000000001
+112 0 1 0b00000000000000000000000000000001
+113 0 1 0b00000000000000000000000000000001
+114 0 1 0b00000000000000000000000000000001
+115 0 1 0b00000000000000000000000000000001
+116 0 1 0b00000000000000000000000000000001
+117 0 1 0b00000000000000000000000000000001
+118 0 1 0b00000000000000000000000000000001
+119 0 1 0b00000000000000000000000000000001
+120 0 1 0b00000000000000000000000000000001
+121 0 1 0b00000000000000000000000000000001
+122 0 1 0b00000000000000000000000000000001
+123 0 1 0b00000000000000000000000000000001
+124 0 1 0b00000000000000000000000000000001
+125 0 1 0b00000000000000000000000000000001
+126 0 1 0b00000000000000000000000000000001
+127 0 1 0b00000000000000000000000000000001
+128 0 1 0b00000000000000000000000000000001
+129 0 1 0b00000000000000000000000000000001
+130 0 1 0b00000000000000000000000000000001
+131 0 1 0b00000000000000000000000000000001
+132 0 1 0b00000000000000000000000000000001
+133 0 1 0b00000000000000000000000000000001
+134 0 1 0b00000000000000000000000000000001
+135 0 1 0b00000000000000000000000000000001
+136 0 1 0b00000000000000000000000000000001
+137 0 1 0b00000000000000000000000000000001
+138 0 1 0b00000000000000000000000000000001
+139 0 1 0b00000000000000000000000000000001
+140 0 1 0b00000000000000000000000000000001
+141 0 1 0b00000000000000000000000000000001
+142 0 1 0b00000000000000000000000000000001
+143 0 1 0b00000000000000000000000000000001
+144 0 1 0b00000000000000000000000000000001
+145 0 1 0b00000000000000000000000000000001
+146 0 1 0b00000000000000000000000000000001
+147 0 1 0b00000000000000000000000000000001
+148 0 1 0b00000000000000000000000000000001
+149 0 1 0b00000000000000000000000000000001
+150 0 1 0b00000000000000000000000000000001
+151 0 1 0b00000000000000000000000000000001
+152 0 1 0b00000000000000000000000000000001
+153 0 1 0b00000000000000000000000000000001
+154 0 1 0b00000000000000000000000000000001
+155 0 1 0b00000000000000000000000000000001
+156 0 1 0b00000000000000000000000000000001
+157 0 1 0b00000000000000000000000000000001
+158 0 1 0b00000000000000000000000000000001
+159 0 1 0b00000000000000000000000000000001
+160 0 1 0b00000000000000000000000000000001
+161 0 1 0b00000000000000000000000000000001
+162 0 1 0b00000000000000000000000000000001
+163 0 1 0b00000000000000000000000000000001
+164 0 1 0b00000000000000000000000000000001
+165 0 1 0b00000000000000000000000000000001
+166 0 1 0b00000000000000000000000000000001
+167 0 1 0b00000000000000000000000000000001
+168 0 1 0b00000000000000000000000000000001
+169 0 1 0b00000000000000000000000000000001
+170 0 1 0b00000000000000000000000000000001
+171 0 1 0b00000000000000000000000000000001
+172 0 1 0b00000000000000000000000000000001
+173 0 1 0b00000000000000000000000000000001
+174 0 1 0b00000000000000000000000000000001
+175 0 1 0b00000000000000000000000000000001
+176 0 1 0b00000000000000000000000000000001
+177 0 1 0b00000000000000000000000000000001
+178 0 1 0b00000000000000000000000000000001
+179 0 1 0b00000000000000000000000000000001
+180 0 1 0b00000000000000000000000000000001
+181 0 1 0b00000000000000000000000000000001
+182 0 1 0b00000000000000000000000000000001
+183 0 1 0b00000000000000000000000000000001
+184 0 1 0b00000000000000000000000000000001
+185 0 1 0b00000000000000000000000000000001
+186 0 1 0b00000000000000000000000000000001
+187 0 1 0b00000000000000000000000000000001
+188 0 1 0b00000000000000000000000000000001
+189 0 1 0b00000000000000000000000000000001
+190 0 1 0b00000000000000000000000000000001
+191 0 1 0b00000000000000000000000000000001
+192 0 1 0b00000000000000000000000000000001
+193 0 1 0b00000000000000000000000000000001
+194 0 1 0b00000000000000000000000000000001
+195 0 1 0b00000000000000000000000000000001
+196 0 1 0b00000000000000000000000000000001
+197 0 1 0b00000000000000000000000000000001
+198 0 1 0b00000000000000000000000000000001
+199 0 1 0b00000000000000000000000000000001
+200 0 1 0b00000000000000000000000000000001
+201 0 1 0b00000000000000000000000000000001
+202 0 1 0b00000000000000000000000000000001
+203 0 1 0b00000000000000000000000000000001
+204 0 1 0b00000000000000000000000000000001
+205 0 1 0b00000000000000000000000000000001
+206 0 1 0b00000000000000000000000000000001
+207 0 1 0b00000000000000000000000000000001
+208 0 1 0b00000000000000000000000000000001
+209 0 1 0b00000000000000000000000000000001
+210 0 1 0b00000000000000000000000000000001
+211 0 1 0b00000000000000000000000000000001
+212 0 1 0b00000000000000000000000000000001
+213 0 1 0b00000000000000000000000000000001
+214 0 1 0b00000000000000000000000000000001
+215 0 1 0b00000000000000000000000000000001
+216 0 1 0b00000000000000000000000000000001
+217 0 1 0b00000000000000000000000000000001
+218 0 1 0b00000000000000000000000000000001
+219 0 1 0b00000000000000000000000000000001
+220 0 1 0b00000000000000000000000000000001
+221 0 1 0b00000000000000000000000000000001
+222 0 1 0b00000000000000000000000000000001
+223 0 1 0b00000000000000000000000000000001
+224 0 1 0b00000000000000000000000000000001
+225 0 1 0b00000000000000000000000000000001
+226 0 1 0b00000000000000000000000000000001
+227 0 1 0b00000000000000000000000000000001
+228 0 1 0b00000000000000000000000000000001
+229 0 1 0b00000000000000000000000000000001
+230 0 1 0b00000000000000000000000000000001
+231 0 1 0b00000000000000000000000000000001
+232 0 1 0b00000000000000000000000000000001
+233 0 1 0b00000000000000000000000000000001
+234 0 1 0b00000000000000000000000000000001
+235 0 1 0b00000000000000000000000000000001
+236 0 1 0b00000000000000000000000000000001
+237 0 1 0b00000000000000000000000000000001
+238 0 1 0b00000000000000000000000000000001
+239 0 1 0b00000000000000000000000000000001
+240 0 1 0b00000000000000000000000000000001
+241 0 1 0b00000000000000000000000000000001
+242 0 1 0b00000000000000000000000000000001
+243 0 1 0b00000000000000000000000000000001
+244 0 1 0b00000000000000000000000000000001
+245 0 1 0b00000000000000000000000000000001
+246 0 1 0b00000000000000000000000000000001
+247 0 1 0b00000000000000000000000000000001
+248 0 1 0b00000000000000000000000000000001
+249 0 1 0b00000000000000000000000000000001
+250 0 1 0b00000000000000000000000000000001
+251 0 1 0b00000000000000000000000000000001
+252 0 1 0b00000000000000000000000000000001
+253 0 1 0b00000000000000000000000000000001
+254 0 1 0b00000000000000000000000000000001
+255 0 1 0b00000000000000000000000000000001
+256 0 1 0b00000000000000000000000000000001
+257 0 1 0b00000000000000000000000000000001
+258 0 1 0b00000000000000000000000000000001
+259 0 1 0b00000000000000000000000000000001
+260 0 1 0b00000000000000000000000000000001
+261 0 1 0b00000000000000000000000000000001
+262 0 1 0b00000000000000000000000000000001
+263 0 1 0b00000000000000000000000000000001
+264 0 1 0b00000000000000000000000000000001
+265 0 1 0b00000000000000000000000000000001
+266 0 1 0b00000000000000000000000000000001
+267 0 1 0b00000000000000000000000000000001
+268 0 1 0b00000000000000000000000000000001
+269 0 1 0b00000000000000000000000000000001
+270 0 1 0b00000000000000000000000000000001
+271 0 1 0b00000000000000000000000000000001
+272 0 1 0b00000000000000000000000000000001
+273 0 1 0b00000000000000000000000000000001
+274 0 1 0b00000000000000000000000000000001
+275 0 1 0b00000000000000000000000000000001
+276 0 1 0b00000000000000000000000000000001
+277 0 1 0b00000000000000000000000000000001
+278 0 1 0b00000000000000000000000000000001
+279 0 1 0b00000000000000000000000000000001
+280 0 1 0b00000000000000000000000000000001
+281 0 1 0b00000000000000000000000000000001
+282 0 1 0b00000000000000000000000000000001
+283 0 1 0b00000000000000000000000000000001
+284 0 1 0b00000000000000000000000000000001
+285 0 1 0b00000000000000000000000000000001
+286 0 1 0b00000000000000000000000000000001
+287 0 1 0b00000000000000000000000000000001
+288 0 1 0b00000000000000000000000000000001
+289 0 1 0b00000000000000000000000000000001
+290 0 1 0b00000000000000000000000000000001
+291 0 1 0b00000000000000000000000000000001
+292 0 1 0b00000000000000000000000000000001
+293 0 1 0b00000000000000000000000000000001
+294 0 1 0b00000000000000000000000000000001
+295 0 1 0b00000000000000000000000000000001
+296 0 1 0b00000000000000000000000000000001
+297 0 1 0b00000000000000000000000000000001
+298 0 1 0b00000000000000000000000000000001
+299 0 1 0b00000000000000000000000000000001
+0 0.5 1.5 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 0.25 1.75 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 0.125 1.875 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 0.0625 1.9375 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 0.03125 1.96875 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 0.015625 1.98438 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 0.0078125 1.99219 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 0.00390625 1.99609 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 0.00195313 1.99805 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 0.000976563 1.99902 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 0.000488281 1.99951 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 0.000244141 1.99976 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 0.00012207 1.99988 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 6.10352e-005 1.99994 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 3.05176e-005 1.99997 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 1.52588e-005 1.99998 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 7.62939e-006 1.99999 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 3.8147e-006 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 1.90735e-006 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 9.53674e-007 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 4.76837e-007 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 2.38419e-007 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 1.19209e-007 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 5.96046e-008 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 2.98023e-008 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 1.49012e-008 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 7.45058e-009 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 3.72529e-009 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 1.86265e-009 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 9.31323e-010 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 4.65661e-010 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 2.32831e-010 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 1.16415e-010 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 5.82077e-011 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000
+34 2.91038e-011 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000
+35 1.45519e-011 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000
+36 7.27596e-012 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000
+37 3.63798e-012 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000
+38 1.81899e-012 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000
+39 9.09495e-013 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000
+40 4.54747e-013 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000
+41 2.27374e-013 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000
+42 1.13687e-013 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000
+43 5.68434e-014 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000
+44 2.84217e-014 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000
+45 1.42109e-014 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000
+46 7.10543e-015 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000
+47 3.55271e-015 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000
+48 1.77636e-015 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000
+49 8.88178e-016 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000
+50 4.44089e-016 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000
+51 2.22045e-016 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000
+52 1.11022e-016 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000
+53 5.55112e-017 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000
+54 2.77556e-017 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000
+55 1.38778e-017 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000
+56 6.93889e-018 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000
+57 3.46945e-018 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000
+58 1.73472e-018 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000
+59 8.67362e-019 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000
+60 4.33681e-019 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000
+61 2.1684e-019 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000
+62 1.0842e-019 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000
+63 5.42101e-020 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000
+64 2.71051e-020 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000
+65 1.35525e-020 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000
+66 6.77626e-021 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000
+67 3.38813e-021 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000
+68 1.69407e-021 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000
+69 8.47033e-022 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000
+70 4.23516e-022 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000
+71 2.11758e-022 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000
+72 1.05879e-022 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000
+73 5.29396e-023 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000
+74 2.64698e-023 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000
+75 1.32349e-023 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000
+76 6.61744e-024 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000
+77 3.30872e-024 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000
+78 1.65436e-024 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000
+79 8.27181e-025 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000
+80 4.1359e-025 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000
+81 2.06795e-025 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000
+82 1.03398e-025 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000
+83 5.16988e-026 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000
+84 2.58494e-026 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000
+85 1.29247e-026 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000
+86 6.46235e-027 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000
+87 3.23117e-027 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000
+88 1.61559e-027 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000
+89 8.07794e-028 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000
+90 4.03897e-028 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000
+91 2.01948e-028 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000
+92 1.00974e-028 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000
+93 5.04871e-029 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000
+94 2.52435e-029 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000
+95 1.26218e-029 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000
+96 6.31089e-030 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000
+97 3.15544e-030 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000
+98 1.57772e-030 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000
+99 7.88861e-031 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000
+100 3.9443e-031 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000
+101 1.97215e-031 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000
+102 9.86076e-032 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000
+103 4.93038e-032 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000
+104 2.46519e-032 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000
+105 1.2326e-032 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000
+106 6.16298e-033 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000
+107 3.08149e-033 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000
+108 1.54074e-033 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100
+109 7.70372e-034 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110
+110 3.85186e-034 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 2 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 0.5 1.5 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 0.25 1.75 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 0.125 1.875 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 0.0625 1.9375 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 0.03125 1.96875 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 0.015625 1.98438 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 0.0078125 1.99219 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 0.00390625 1.99609 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 0.00195313 1.99805 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 0.000976563 1.99902 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 0.000488281 1.99951 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 0.000244141 1.99976 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 0.00012207 1.99988 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 6.10352e-005 1.99994 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 3.05176e-005 1.99997 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 1.52588e-005 1.99998 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 7.62939e-006 1.99999 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 3.8147e-006 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 1.90735e-006 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 9.53674e-007 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 4.76837e-007 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 2.38419e-007 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 1.19209e-007 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 5.96046e-008 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 2.98023e-008 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 1.49012e-008 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 7.45058e-009 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 3.72529e-009 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 1.86265e-009 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 9.31323e-010 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 4.65661e-010 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 2.32831e-010 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 1.16415e-010 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 5.82077e-011 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000
+34 2.91038e-011 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000
+35 1.45519e-011 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000
+36 7.27596e-012 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000
+37 3.63798e-012 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000
+38 1.81899e-012 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000
+39 9.09495e-013 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000
+40 4.54747e-013 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000
+41 2.27374e-013 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000
+42 1.13687e-013 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000
+43 5.68434e-014 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000
+44 2.84217e-014 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000
+45 1.42109e-014 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000
+46 7.10543e-015 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000
+47 3.55271e-015 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000
+48 1.77636e-015 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000
+49 8.88178e-016 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000
+50 4.44089e-016 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000
+51 2.22045e-016 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000
+52 1.11022e-016 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000
+53 5.55112e-017 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000
+54 2.77556e-017 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000
+55 1.38778e-017 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000
+56 6.93889e-018 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000
+57 3.46945e-018 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000
+58 1.73472e-018 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000
+59 8.67362e-019 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000
+60 4.33681e-019 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000
+61 2.1684e-019 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000
+62 1.0842e-019 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000
+63 5.42101e-020 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000
+64 2.71051e-020 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000
+65 1.35525e-020 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000
+66 6.77626e-021 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000
+67 3.38813e-021 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000
+68 1.69407e-021 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000
+69 8.47033e-022 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000
+70 4.23516e-022 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000
+71 2.11758e-022 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000
+72 1.05879e-022 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000
+73 5.29396e-023 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000
+74 2.64698e-023 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000
+75 1.32349e-023 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000
+76 6.61744e-024 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000
+77 3.30872e-024 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000
+78 1.65436e-024 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000
+79 8.27181e-025 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000
+80 4.1359e-025 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000
+81 2.06795e-025 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000
+82 1.03398e-025 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000
+83 5.16988e-026 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000
+84 2.58494e-026 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000
+85 1.29247e-026 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000
+86 6.46235e-027 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000
+87 3.23117e-027 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000
+88 1.61559e-027 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000
+89 8.07794e-028 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000
+90 4.03897e-028 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000
+91 2.01948e-028 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000
+92 1.00974e-028 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000
+93 5.04871e-029 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000
+94 2.52435e-029 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000
+95 1.26218e-029 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000
+96 6.31089e-030 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000
+97 3.15544e-030 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000
+98 1.57772e-030 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000
+99 7.88861e-031 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000
+100 3.9443e-031 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000
+101 1.97215e-031 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000
+102 9.86076e-032 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000
+103 4.93038e-032 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000
+104 2.46519e-032 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000
+105 1.2326e-032 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000
+106 6.16298e-033 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000
+107 3.08149e-033 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000
+108 1.54074e-033 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100
+109 7.70372e-034 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110
+110 3.85186e-034 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 2 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001.111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 2 3 0b011
+1 4 7 0b0111
+2 8 15 0b01111
+3 16 31 0b011111
+4 32 63 0b0111111
+5 64 127 0b01111111
+6 128 255 0b011111111
+7 256 511 0b0111111111
+8 512 1023 0b01111111111
+9 1024 2047 0b011111111111
+10 2048 4095 0b0111111111111
+11 4096 8191 0b01111111111111
+12 8192 16383 0b011111111111111
+13 16384 32767 0b0111111111111111
+14 32768 65535 0b01111111111111111
+15 65536 131071 0b011111111111111111
+16 131072 262143 0b0111111111111111111
+17 262144 524287 0b01111111111111111111
+18 524288 1.04858e+006 0b011111111111111111111
+19 1.04858e+006 2.09715e+006 0b0111111111111111111111
+20 2.09715e+006 4.1943e+006 0b01111111111111111111111
+21 4.1943e+006 8.38861e+006 0b011111111111111111111111
+22 8.38861e+006 1.67772e+007 0b0111111111111111111111111
+23 1.67772e+007 3.35544e+007 0b01111111111111111111111111
+24 3.35544e+007 6.71089e+007 0b011111111111111111111111111
+25 6.71089e+007 1.34218e+008 0b0111111111111111111111111111
+26 1.34218e+008 2.68435e+008 0b01111111111111111111111111111
+27 2.68435e+008 5.36871e+008 0b011111111111111111111111111111
+28 5.36871e+008 1.07374e+009 0b0111111111111111111111111111111
+29 1.07374e+009 2.14748e+009 0b01111111111111111111111111111111
+30 2.14748e+009 4.29497e+009 0b011111111111111111111111111111111
+31 4.29497e+009 8.58993e+009 0b0111111111111111111111111111111111
+32 8.58993e+009 1.71799e+010 0b01111111111111111111111111111111111
+33 1.71799e+010 3.43597e+010 0b011111111111111111111111111111111111
+34 3.43597e+010 6.87195e+010 0b0111111111111111111111111111111111111
+35 6.87195e+010 1.37439e+011 0b01111111111111111111111111111111111111
+36 1.37439e+011 2.74878e+011 0b011111111111111111111111111111111111111
+37 2.74878e+011 5.49756e+011 0b0111111111111111111111111111111111111111
+38 5.49756e+011 1.09951e+012 0b01111111111111111111111111111111111111111
+39 1.09951e+012 2.19902e+012 0b011111111111111111111111111111111111111111
+40 2.19902e+012 4.39805e+012 0b0111111111111111111111111111111111111111111
+41 4.39805e+012 8.79609e+012 0b01111111111111111111111111111111111111111111
+42 8.79609e+012 1.75922e+013 0b011111111111111111111111111111111111111111111
+43 1.75922e+013 3.51844e+013 0b0111111111111111111111111111111111111111111111
+44 3.51844e+013 7.03687e+013 0b01111111111111111111111111111111111111111111111
+45 7.03687e+013 1.40737e+014 0b011111111111111111111111111111111111111111111111
+46 1.40737e+014 2.81475e+014 0b0111111111111111111111111111111111111111111111111
+47 2.81475e+014 5.6295e+014 0b01111111111111111111111111111111111111111111111111
+48 5.6295e+014 1.1259e+015 0b011111111111111111111111111111111111111111111111111
+49 1.1259e+015 2.2518e+015 0b0111111111111111111111111111111111111111111111111111
+50 2.2518e+015 4.5036e+015 0b01111111111111111111111111111111111111111111111111111
+51 4.5036e+015 9.0072e+015 0b011111111111111111111111111111111111111111111111111111
+52 9.0072e+015 1.80144e+016 0b0111111111111111111111111111111111111111111111111111111
+53 1.80144e+016 3.60288e+016 0b01111111111111111111111111111111111111111111111111111111
+54 3.60288e+016 7.20576e+016 0b011111111111111111111111111111111111111111111111111111111
+55 7.20576e+016 1.44115e+017 0b0111111111111111111111111111111111111111111111111111111111
+56 1.44115e+017 2.8823e+017 0b01111111111111111111111111111111111111111111111111111111111
+57 2.8823e+017 5.76461e+017 0b011111111111111111111111111111111111111111111111111111111111
+58 5.76461e+017 1.15292e+018 0b0111111111111111111111111111111111111111111111111111111111111
+59 1.15292e+018 2.30584e+018 0b01111111111111111111111111111111111111111111111111111111111111
+60 2.30584e+018 4.61169e+018 0b011111111111111111111111111111111111111111111111111111111111111
+61 4.61169e+018 9.22337e+018 0b0111111111111111111111111111111111111111111111111111111111111111
+62 9.22337e+018 1.84467e+019 0b01111111111111111111111111111111111111111111111111111111111111111
+63 1.84467e+019 3.68935e+019 0b011111111111111111111111111111111111111111111111111111111111111111
+64 3.68935e+019 7.3787e+019 0b0111111111111111111111111111111111111111111111111111111111111111111
+65 7.3787e+019 1.47574e+020 0b01111111111111111111111111111111111111111111111111111111111111111111
+66 1.47574e+020 2.95148e+020 0b011111111111111111111111111111111111111111111111111111111111111111111
+67 2.95148e+020 5.90296e+020 0b0111111111111111111111111111111111111111111111111111111111111111111111
+68 5.90296e+020 1.18059e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111
+69 1.18059e+021 2.36118e+021 0b011111111111111111111111111111111111111111111111111111111111111111111111
+70 2.36118e+021 4.72237e+021 0b0111111111111111111111111111111111111111111111111111111111111111111111111
+71 4.72237e+021 9.44473e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111111
+72 9.44473e+021 1.88895e+022 0b011111111111111111111111111111111111111111111111111111111111111111111111111
+73 1.88895e+022 3.77789e+022 0b0111111111111111111111111111111111111111111111111111111111111111111111111111
+74 3.77789e+022 7.55579e+022 0b01111111111111111111111111111111111111111111111111111111111111111111111111111
+75 7.55579e+022 1.51116e+023 0b011111111111111111111111111111111111111111111111111111111111111111111111111111
+76 1.51116e+023 3.02231e+023 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111
+77 3.02231e+023 6.04463e+023 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 6.04463e+023 1.20893e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 1.20893e+024 2.41785e+024 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 2.41785e+024 4.8357e+024 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 4.8357e+024 9.67141e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 9.67141e+024 1.93428e+025 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 1.93428e+025 3.86856e+025 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 3.86856e+025 7.73713e+025 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 7.73713e+025 1.54743e+026 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 1.54743e+026 3.09485e+026 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 3.09485e+026 6.1897e+026 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 6.1897e+026 1.23794e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 1.23794e+027 2.47588e+027 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 2.47588e+027 4.95176e+027 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 4.95176e+027 9.90352e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 9.90352e+027 1.9807e+028 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 1.9807e+028 3.96141e+028 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 3.96141e+028 7.92282e+028 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 7.92282e+028 1.58456e+029 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 1.58456e+029 3.16913e+029 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 3.16913e+029 6.33825e+029 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 6.33825e+029 1.26765e+030 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 1.26765e+030 2.5353e+030 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 2.5353e+030 5.0706e+030 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 5.0706e+030 1.01412e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 1.01412e+031 2.02824e+031 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 2.02824e+031 4.05648e+031 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 4.05648e+031 8.11296e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 8.11296e+031 1.62259e+032 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 1.62259e+032 3.24519e+032 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 3.24519e+032 6.49037e+032 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 6.49037e+032 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 1.29807e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 2.59615e+033 5.1923e+033 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 5.1923e+033 1.03846e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 1.03846e+034 2.07692e+034 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 2.07692e+034 4.15384e+034 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 4.15384e+034 8.30767e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 8.30767e+034 1.66153e+035 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 1.66153e+035 3.32307e+035 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 3.32307e+035 6.64614e+035 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 6.64614e+035 1.32923e+036 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 1.32923e+036 2.65846e+036 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 2.65846e+036 5.31691e+036 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 5.31691e+036 1.06338e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 1.06338e+037 2.12676e+037 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 2.12676e+037 4.25353e+037 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 4.25353e+037 8.50706e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 8.50706e+037 1.70141e+038 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 1.70141e+038 3.40282e+038 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 3.40282e+038 6.80565e+038 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 6.80565e+038 1.36113e+039 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 1.36113e+039 2.72226e+039 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 2.72226e+039 5.44452e+039 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 5.44452e+039 1.0889e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 1.0889e+040 2.17781e+040 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 2.17781e+040 4.35561e+040 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 4.35561e+040 8.71123e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 8.71123e+040 1.74225e+041 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 1.74225e+041 3.48449e+041 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 3.48449e+041 6.96898e+041 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 6.96898e+041 1.3938e+042 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 1.3938e+042 2.78759e+042 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 2.78759e+042 5.57519e+042 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 5.57519e+042 1.11504e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 1.11504e+043 2.23007e+043 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 2.23007e+043 4.46015e+043 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 4.46015e+043 8.9203e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 8.9203e+043 1.78406e+044 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 1.78406e+044 3.56812e+044 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 3.56812e+044 7.13624e+044 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 7.13624e+044 1.42725e+045 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 1.42725e+045 2.8545e+045 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 2.8545e+045 5.70899e+045 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 5.70899e+045 1.1418e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 1.1418e+046 2.2836e+046 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 2.2836e+046 4.56719e+046 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 4.56719e+046 9.13439e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 9.13439e+046 1.82688e+047 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 1.82688e+047 3.65375e+047 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 3.65375e+047 7.30751e+047 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 7.30751e+047 1.4615e+048 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 1.4615e+048 2.923e+048 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 2.923e+048 5.84601e+048 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 5.84601e+048 1.1692e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 1.1692e+049 2.3384e+049 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 2.3384e+049 4.67681e+049 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 4.67681e+049 9.35361e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 9.35361e+049 1.87072e+050 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 1.87072e+050 3.74144e+050 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 3.74144e+050 7.48289e+050 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 7.48289e+050 1.49658e+051 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 1.49658e+051 2.99316e+051 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 2.99316e+051 5.98631e+051 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 5.98631e+051 1.19726e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 1.19726e+052 2.39452e+052 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 2.39452e+052 4.78905e+052 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 4.78905e+052 9.5781e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 9.5781e+052 1.91562e+053 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 1.91562e+053 3.83124e+053 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 3.83124e+053 7.66248e+053 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 7.66248e+053 1.5325e+054 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 1.5325e+054 3.06499e+054 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 3.06499e+054 6.12998e+054 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 6.12998e+054 1.226e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 1.226e+055 2.45199e+055 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 2.45199e+055 4.90399e+055 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 4.90399e+055 9.80797e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 9.80797e+055 1.96159e+056 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 1.96159e+056 3.92319e+056 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 3.92319e+056 7.84638e+056 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 7.84638e+056 1.56928e+057 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 1.56928e+057 3.13855e+057 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 3.13855e+057 6.2771e+057 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 6.2771e+057 1.25542e+058 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 1.25542e+058 2.51084e+058 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 2.51084e+058 5.02168e+058 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 5.02168e+058 1.00434e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 1.00434e+059 2.00867e+059 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 2.00867e+059 4.01735e+059 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 4.01735e+059 8.03469e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 8.03469e+059 1.60694e+060 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 1.60694e+060 3.21388e+060 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 3.21388e+060 6.42775e+060 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 6.42775e+060 1.28555e+061 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 1.28555e+061 2.5711e+061 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 2.5711e+061 5.1422e+061 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 5.1422e+061 1.02844e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 1.02844e+062 2.05688e+062 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 2.05688e+062 4.11376e+062 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 4.11376e+062 8.22752e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 8.22752e+062 1.6455e+063 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 1.6455e+063 3.29101e+063 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 3.29101e+063 6.58202e+063 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 6.58202e+063 1.3164e+064 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 1.3164e+064 2.63281e+064 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 2.63281e+064 5.26561e+064 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 5.26561e+064 1.05312e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 1.05312e+065 2.10625e+065 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 2.10625e+065 4.21249e+065 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 4.21249e+065 8.42498e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 8.42498e+065 1.685e+066 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 1.685e+066 3.36999e+066 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 3.36999e+066 6.73999e+066 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 6.73999e+066 1.348e+067 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 1.348e+067 2.69599e+067 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 2.69599e+067 5.39199e+067 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 5.39199e+067 1.0784e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 1.0784e+068 2.1568e+068 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 2.1568e+068 4.31359e+068 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 4.31359e+068 8.62718e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 8.62718e+068 1.72544e+069 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 1.72544e+069 3.45087e+069 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 3.45087e+069 6.90175e+069 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 6.90175e+069 1.38035e+070 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 1.38035e+070 2.7607e+070 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 2.7607e+070 5.5214e+070 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 5.5214e+070 1.10428e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 1.10428e+071 2.20856e+071 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 2.20856e+071 4.41712e+071 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 4.41712e+071 8.83424e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 8.83424e+071 1.76685e+072 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 1.76685e+072 3.53369e+072 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 3.53369e+072 7.06739e+072 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 7.06739e+072 1.41348e+073 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 1.41348e+073 2.82696e+073 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 2.82696e+073 5.65391e+073 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 5.65391e+073 1.13078e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 1.13078e+074 2.26156e+074 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 2.26156e+074 4.52313e+074 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 4.52313e+074 9.04626e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 9.04626e+074 1.80925e+075 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 1.80925e+075 3.6185e+075 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 3.6185e+075 7.23701e+075 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 7.23701e+075 1.4474e+076 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 1.4474e+076 2.8948e+076 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 2.8948e+076 5.7896e+076 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 5.7896e+076 1.15792e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 1.15792e+077 2.31584e+077 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 2.31584e+077 4.63168e+077 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 4.63168e+077 9.26337e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 9.26337e+077 1.85267e+078 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 1.85267e+078 3.70535e+078 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 3.70535e+078 7.41069e+078 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 7.41069e+078 1.48214e+079 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 1.48214e+079 2.96428e+079 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 2.96428e+079 5.92855e+079 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 5.92855e+079 1.18571e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 1.18571e+080 2.37142e+080 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 2.37142e+080 4.74284e+080 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 4.74284e+080 9.48569e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 9.48569e+080 1.89714e+081 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 1.89714e+081 3.79428e+081 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 3.79428e+081 7.58855e+081 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 7.58855e+081 1.51771e+082 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 1.51771e+082 3.03542e+082 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 3.03542e+082 6.07084e+082 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 6.07084e+082 1.21417e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 1.21417e+083 2.42834e+083 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 2.42834e+083 4.85667e+083 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 4.85667e+083 9.71334e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 9.71334e+083 1.94267e+084 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 1.94267e+084 3.88534e+084 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 3.88534e+084 7.77068e+084 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 7.77068e+084 1.55414e+085 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 1.55414e+085 3.10827e+085 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 3.10827e+085 6.21654e+085 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 6.21654e+085 1.24331e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 1.24331e+086 2.48662e+086 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 2.48662e+086 4.97323e+086 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 4.97323e+086 9.94646e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 9.94646e+086 1.98929e+087 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 1.98929e+087 3.97859e+087 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 3.97859e+087 7.95717e+087 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 7.95717e+087 1.59143e+088 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 1.59143e+088 3.18287e+088 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 3.18287e+088 6.36574e+088 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 6.36574e+088 1.27315e+089 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 1.27315e+089 2.54629e+089 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 2.54629e+089 5.09259e+089 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 5.09259e+089 1.01852e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 1.01852e+090 2.03704e+090 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 2.03704e+090 4.07407e+090 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 2.03704e+090 2.03704e+090 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+1 1.01852e+090 1.01852e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+2 5.09259e+089 5.09259e+089 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+3 2.54629e+089 2.54629e+089 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+4 1.27315e+089 1.27315e+089 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+5 6.36574e+088 6.36574e+088 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+6 3.18287e+088 3.18287e+088 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+7 1.59143e+088 1.59143e+088 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+8 7.95717e+087 7.95717e+087 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+9 3.97859e+087 3.97859e+087 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+10 1.98929e+087 1.98929e+087 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+11 9.94646e+086 9.94646e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+12 4.97323e+086 4.97323e+086 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+13 2.48662e+086 2.48662e+086 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+14 1.24331e+086 1.24331e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+15 6.21654e+085 6.21654e+085 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+16 3.10827e+085 3.10827e+085 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+17 1.55414e+085 1.55414e+085 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+18 7.77068e+084 7.77068e+084 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+19 3.88534e+084 3.88534e+084 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+20 1.94267e+084 1.94267e+084 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+21 9.71334e+083 9.71334e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+22 4.85667e+083 4.85667e+083 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+23 2.42834e+083 2.42834e+083 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+24 1.21417e+083 1.21417e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+25 6.07084e+082 6.07084e+082 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+26 3.03542e+082 3.03542e+082 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+27 1.51771e+082 1.51771e+082 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+28 7.58855e+081 7.58855e+081 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+29 3.79428e+081 3.79428e+081 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+30 1.89714e+081 1.89714e+081 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+31 9.48569e+080 9.48569e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+32 4.74284e+080 4.74284e+080 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+33 2.37142e+080 2.37142e+080 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+34 1.18571e+080 1.18571e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+35 5.92855e+079 5.92855e+079 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+36 2.96428e+079 2.96428e+079 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+37 1.48214e+079 1.48214e+079 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+38 7.41069e+078 7.41069e+078 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+39 3.70535e+078 3.70535e+078 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+40 1.85267e+078 1.85267e+078 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+41 9.26337e+077 9.26337e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+42 4.63168e+077 4.63168e+077 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+43 2.31584e+077 2.31584e+077 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+44 1.15792e+077 1.15792e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+45 5.7896e+076 5.7896e+076 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+46 2.8948e+076 2.8948e+076 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+47 1.4474e+076 1.4474e+076 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+48 7.23701e+075 7.23701e+075 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+49 3.6185e+075 3.6185e+075 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+50 1.80925e+075 1.80925e+075 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+51 9.04626e+074 9.04626e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+52 4.52313e+074 4.52313e+074 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+53 2.26156e+074 2.26156e+074 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+54 1.13078e+074 1.13078e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+55 5.65391e+073 5.65391e+073 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+56 2.82696e+073 2.82696e+073 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+57 1.41348e+073 1.41348e+073 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+58 7.06739e+072 7.06739e+072 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+59 3.53369e+072 3.53369e+072 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+60 1.76685e+072 1.76685e+072 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+61 8.83424e+071 8.83424e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+62 4.41712e+071 4.41712e+071 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+63 2.20856e+071 2.20856e+071 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+64 1.10428e+071 1.10428e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+65 5.5214e+070 5.5214e+070 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+66 2.7607e+070 2.7607e+070 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+67 1.38035e+070 1.38035e+070 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+68 6.90175e+069 6.90175e+069 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+69 3.45087e+069 3.45087e+069 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+70 1.72544e+069 1.72544e+069 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+71 8.62718e+068 8.62718e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+72 4.31359e+068 4.31359e+068 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+73 2.1568e+068 2.1568e+068 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+74 1.0784e+068 1.0784e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+75 5.39199e+067 5.39199e+067 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+76 2.69599e+067 2.69599e+067 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+77 1.348e+067 1.348e+067 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 6.73999e+066 6.73999e+066 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 3.36999e+066 3.36999e+066 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 1.685e+066 1.685e+066 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 8.42498e+065 8.42498e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 4.21249e+065 4.21249e+065 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 2.10625e+065 2.10625e+065 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 1.05312e+065 1.05312e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 5.26561e+064 5.26561e+064 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 2.63281e+064 2.63281e+064 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 1.3164e+064 1.3164e+064 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 6.58202e+063 6.58202e+063 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 3.29101e+063 3.29101e+063 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 1.6455e+063 1.6455e+063 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 8.22752e+062 8.22752e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 4.11376e+062 4.11376e+062 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 2.05688e+062 2.05688e+062 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 1.02844e+062 1.02844e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 5.1422e+061 5.1422e+061 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 2.5711e+061 2.5711e+061 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 1.28555e+061 1.28555e+061 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 6.42775e+060 6.42775e+060 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 3.21388e+060 3.21388e+060 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 1.60694e+060 1.60694e+060 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 8.03469e+059 8.03469e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 4.01735e+059 4.01735e+059 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 2.00867e+059 2.00867e+059 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 1.00434e+059 1.00434e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 5.02168e+058 5.02168e+058 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 2.51084e+058 2.51084e+058 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 1.25542e+058 1.25542e+058 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 6.2771e+057 6.2771e+057 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 3.13855e+057 3.13855e+057 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 1.56928e+057 1.56928e+057 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 7.84638e+056 7.84638e+056 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 3.92319e+056 3.92319e+056 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 1.96159e+056 1.96159e+056 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 9.80797e+055 9.80797e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 4.90399e+055 4.90399e+055 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 2.45199e+055 2.45199e+055 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 1.226e+055 1.226e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 6.12998e+054 6.12998e+054 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 3.06499e+054 3.06499e+054 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 1.5325e+054 1.5325e+054 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 7.66248e+053 7.66248e+053 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 3.83124e+053 3.83124e+053 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 1.91562e+053 1.91562e+053 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 9.5781e+052 9.5781e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 4.78905e+052 4.78905e+052 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 2.39452e+052 2.39452e+052 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 1.19726e+052 1.19726e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 5.98631e+051 5.98631e+051 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 2.99316e+051 2.99316e+051 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 1.49658e+051 1.49658e+051 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 7.48289e+050 7.48289e+050 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 3.74144e+050 3.74144e+050 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 1.87072e+050 1.87072e+050 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 9.35361e+049 9.35361e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 4.67681e+049 4.67681e+049 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 2.3384e+049 2.3384e+049 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 1.1692e+049 1.1692e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 5.84601e+048 5.84601e+048 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 2.923e+048 2.923e+048 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 1.4615e+048 1.4615e+048 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 7.30751e+047 7.30751e+047 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 3.65375e+047 3.65375e+047 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 1.82688e+047 1.82688e+047 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 9.13439e+046 9.13439e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 4.56719e+046 4.56719e+046 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 2.2836e+046 2.2836e+046 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 1.1418e+046 1.1418e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 5.70899e+045 5.70899e+045 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 2.8545e+045 2.8545e+045 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 1.42725e+045 1.42725e+045 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 7.13624e+044 7.13624e+044 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 3.56812e+044 3.56812e+044 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 1.78406e+044 1.78406e+044 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 8.9203e+043 8.9203e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 4.46015e+043 4.46015e+043 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 2.23007e+043 2.23007e+043 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 1.11504e+043 1.11504e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 5.57519e+042 5.57519e+042 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 2.78759e+042 2.78759e+042 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 1.3938e+042 1.3938e+042 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 6.96898e+041 6.96898e+041 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 3.48449e+041 3.48449e+041 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 1.74225e+041 1.74225e+041 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 8.71123e+040 8.71123e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 4.35561e+040 4.35561e+040 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 2.17781e+040 2.17781e+040 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 1.0889e+040 1.0889e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 5.44452e+039 5.44452e+039 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 2.72226e+039 2.72226e+039 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 1.36113e+039 1.36113e+039 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 6.80565e+038 6.80565e+038 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 3.40282e+038 3.40282e+038 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 1.70141e+038 1.70141e+038 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 8.50706e+037 8.50706e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 4.25353e+037 4.25353e+037 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 2.12676e+037 2.12676e+037 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 1.06338e+037 1.06338e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 5.31691e+036 5.31691e+036 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 2.65846e+036 2.65846e+036 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 1.32923e+036 1.32923e+036 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 6.64614e+035 6.64614e+035 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 3.32307e+035 3.32307e+035 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 1.66153e+035 1.66153e+035 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 8.30767e+034 8.30767e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 4.15384e+034 4.15384e+034 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 2.07692e+034 2.07692e+034 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 1.03846e+034 1.03846e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 5.1923e+033 5.1923e+033 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 2.59615e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 1.29807e+033 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 6.49037e+032 6.49037e+032 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 3.24519e+032 3.24519e+032 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 1.62259e+032 1.62259e+032 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 8.11296e+031 8.11296e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 4.05648e+031 4.05648e+031 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 2.02824e+031 2.02824e+031 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 1.01412e+031 1.01412e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 5.0706e+030 5.0706e+030 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 2.5353e+030 2.5353e+030 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 1.26765e+030 1.26765e+030 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 6.33825e+029 6.33825e+029 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 3.16913e+029 3.16913e+029 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 1.58456e+029 1.58456e+029 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 7.92282e+028 7.92282e+028 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 3.96141e+028 3.96141e+028 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 1.9807e+028 1.9807e+028 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 9.90352e+027 9.90352e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 4.95176e+027 4.95176e+027 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 2.47588e+027 2.47588e+027 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 1.23794e+027 1.23794e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 6.1897e+026 6.1897e+026 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 3.09485e+026 3.09485e+026 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 1.54743e+026 1.54743e+026 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 7.73713e+025 7.73713e+025 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 3.86856e+025 3.86856e+025 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 1.93428e+025 1.93428e+025 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 9.67141e+024 9.67141e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 4.8357e+024 4.8357e+024 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 2.41785e+024 2.41785e+024 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 1.20893e+024 1.20893e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 6.04463e+023 6.04463e+023 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 3.02231e+023 3.02231e+023 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 1.51116e+023 1.51116e+023 0b011111111111111111111111111111111111111111111111111111111111111111111111111111
+224 7.55579e+022 7.55579e+022 0b01111111111111111111111111111111111111111111111111111111111111111111111111111
+225 3.77789e+022 3.77789e+022 0b0111111111111111111111111111111111111111111111111111111111111111111111111111
+226 1.88895e+022 1.88895e+022 0b011111111111111111111111111111111111111111111111111111111111111111111111111
+227 9.44473e+021 9.44473e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111111
+228 4.72237e+021 4.72237e+021 0b0111111111111111111111111111111111111111111111111111111111111111111111111
+229 2.36118e+021 2.36118e+021 0b011111111111111111111111111111111111111111111111111111111111111111111111
+230 1.18059e+021 1.18059e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111
+231 5.90296e+020 5.90296e+020 0b0111111111111111111111111111111111111111111111111111111111111111111111
+232 2.95148e+020 2.95148e+020 0b011111111111111111111111111111111111111111111111111111111111111111111
+233 1.47574e+020 1.47574e+020 0b01111111111111111111111111111111111111111111111111111111111111111111
+234 7.3787e+019 7.3787e+019 0b0111111111111111111111111111111111111111111111111111111111111111111
+235 3.68935e+019 3.68935e+019 0b011111111111111111111111111111111111111111111111111111111111111111
+236 1.84467e+019 1.84467e+019 0b01111111111111111111111111111111111111111111111111111111111111111
+237 9.22337e+018 9.22337e+018 0b0111111111111111111111111111111111111111111111111111111111111111
+238 4.61169e+018 4.61169e+018 0b011111111111111111111111111111111111111111111111111111111111111
+239 2.30584e+018 2.30584e+018 0b01111111111111111111111111111111111111111111111111111111111111
+240 1.15292e+018 1.15292e+018 0b0111111111111111111111111111111111111111111111111111111111111
+241 5.76461e+017 5.76461e+017 0b011111111111111111111111111111111111111111111111111111111111
+242 2.8823e+017 2.8823e+017 0b01111111111111111111111111111111111111111111111111111111111
+243 1.44115e+017 1.44115e+017 0b0111111111111111111111111111111111111111111111111111111111
+244 7.20576e+016 7.20576e+016 0b011111111111111111111111111111111111111111111111111111111
+245 3.60288e+016 3.60288e+016 0b01111111111111111111111111111111111111111111111111111111
+246 1.80144e+016 1.80144e+016 0b0111111111111111111111111111111111111111111111111111111
+247 9.0072e+015 9.0072e+015 0b011111111111111111111111111111111111111111111111111111
+248 4.5036e+015 4.5036e+015 0b01111111111111111111111111111111111111111111111111111
+249 2.2518e+015 2.2518e+015 0b0111111111111111111111111111111111111111111111111111
+250 1.1259e+015 1.1259e+015 0b011111111111111111111111111111111111111111111111111
+251 5.6295e+014 5.6295e+014 0b01111111111111111111111111111111111111111111111111
+252 2.81475e+014 2.81475e+014 0b0111111111111111111111111111111111111111111111111
+253 1.40737e+014 1.40737e+014 0b011111111111111111111111111111111111111111111111
+254 7.03687e+013 7.03687e+013 0b01111111111111111111111111111111111111111111111
+255 3.51844e+013 3.51844e+013 0b0111111111111111111111111111111111111111111111
+256 1.75922e+013 1.75922e+013 0b011111111111111111111111111111111111111111111
+257 8.79609e+012 8.79609e+012 0b01111111111111111111111111111111111111111111
+258 4.39805e+012 4.39805e+012 0b0111111111111111111111111111111111111111111
+259 2.19902e+012 2.19902e+012 0b011111111111111111111111111111111111111111
+260 1.09951e+012 1.09951e+012 0b01111111111111111111111111111111111111111
+261 5.49756e+011 5.49756e+011 0b0111111111111111111111111111111111111111
+262 2.74878e+011 2.74878e+011 0b011111111111111111111111111111111111111
+263 1.37439e+011 1.37439e+011 0b01111111111111111111111111111111111111
+264 6.87195e+010 6.87195e+010 0b0111111111111111111111111111111111111
+265 3.43597e+010 3.43597e+010 0b011111111111111111111111111111111111
+266 1.71799e+010 1.71799e+010 0b01111111111111111111111111111111111
+267 8.58993e+009 8.58993e+009 0b0111111111111111111111111111111111
+268 4.29497e+009 4.29497e+009 0b011111111111111111111111111111111
+269 2.14748e+009 2.14748e+009 0b01111111111111111111111111111111
+270 1.07374e+009 1.07374e+009 0b0111111111111111111111111111111
+271 5.36871e+008 5.36871e+008 0b011111111111111111111111111111
+272 2.68435e+008 2.68435e+008 0b01111111111111111111111111111
+273 1.34218e+008 1.34218e+008 0b0111111111111111111111111111
+274 6.71089e+007 6.71089e+007 0b011111111111111111111111111
+275 3.35544e+007 3.35544e+007 0b01111111111111111111111111
+276 1.67772e+007 1.67772e+007 0b0111111111111111111111111
+277 8.38861e+006 8.38861e+006 0b011111111111111111111111
+278 4.1943e+006 4.1943e+006 0b01111111111111111111111
+279 2.09715e+006 2.09715e+006 0b0111111111111111111111
+280 1.04858e+006 1.04858e+006 0b011111111111111111111
+281 524288 524287 0b01111111111111111111
+282 262144 262143 0b0111111111111111111
+283 131072 131071 0b011111111111111111
+284 65536 65535 0b01111111111111111
+285 32768 32767 0b0111111111111111
+286 16384 16383 0b011111111111111
+287 8192 8191 0b01111111111111
+288 4096 4095 0b0111111111111
+289 2048 2047 0b011111111111
+290 1024 1023 0b01111111111
+291 512 511 0b0111111111
+292 256 255 0b011111111
+293 128 127 0b01111111
+294 64 63 0b0111111
+295 32 31 0b011111
+296 16 15 0b01111
+297 8 7 0b0111
+298 4 3 0b011
+299 2 1 0b01
+0 2 3 0b000000000000000000000000000000011
+1 4 7 0b000000000000000000000000000000111
+2 8 15 0b000000000000000000000000000001111
+3 16 31 0b000000000000000000000000000011111
+4 32 63 0b000000000000000000000000000111111
+5 64 127 0b000000000000000000000000001111111
+6 128 255 0b000000000000000000000000011111111
+7 256 511 0b000000000000000000000000111111111
+8 512 1023 0b000000000000000000000001111111111
+9 1024 2047 0b000000000000000000000011111111111
+10 2048 4095 0b000000000000000000000111111111111
+11 4096 8191 0b000000000000000000001111111111111
+12 8192 16383 0b000000000000000000011111111111111
+13 16384 32767 0b000000000000000000111111111111111
+14 32768 65535 0b000000000000000001111111111111111
+15 65536 131071 0b000000000000000011111111111111111
+16 131072 262143 0b000000000000000111111111111111111
+17 262144 524287 0b000000000000001111111111111111111
+18 524288 1.04858e+006 0b000000000000011111111111111111111
+19 1.04858e+006 2.09715e+006 0b000000000000111111111111111111111
+20 2.09715e+006 4.1943e+006 0b000000000001111111111111111111111
+21 4.1943e+006 8.38861e+006 0b000000000011111111111111111111111
+22 8.38861e+006 1.67772e+007 0b000000000111111111111111111111111
+23 1.67772e+007 3.35544e+007 0b000000001111111111111111111111111
+24 3.35544e+007 6.71089e+007 0b000000011111111111111111111111111
+25 6.71089e+007 1.34218e+008 0b000000111111111111111111111111111
+26 1.34218e+008 2.68435e+008 0b000001111111111111111111111111111
+27 2.68435e+008 5.36871e+008 0b000011111111111111111111111111111
+28 5.36871e+008 1.07374e+009 0b000111111111111111111111111111111
+29 1.07374e+009 2.14748e+009 0b001111111111111111111111111111111
+30 2.14748e+009 4.29497e+009 0b011111111111111111111111111111111
+31 0 4.29497e+009 0b011111111111111111111111111111111
+32 0 4.29497e+009 0b011111111111111111111111111111111
+33 0 4.29497e+009 0b011111111111111111111111111111111
+34 0 4.29497e+009 0b011111111111111111111111111111111
+35 0 4.29497e+009 0b011111111111111111111111111111111
+36 0 4.29497e+009 0b011111111111111111111111111111111
+37 0 4.29497e+009 0b011111111111111111111111111111111
+38 0 4.29497e+009 0b011111111111111111111111111111111
+39 0 4.29497e+009 0b011111111111111111111111111111111
+40 0 4.29497e+009 0b011111111111111111111111111111111
+41 0 4.29497e+009 0b011111111111111111111111111111111
+42 0 4.29497e+009 0b011111111111111111111111111111111
+43 0 4.29497e+009 0b011111111111111111111111111111111
+44 0 4.29497e+009 0b011111111111111111111111111111111
+45 0 4.29497e+009 0b011111111111111111111111111111111
+46 0 4.29497e+009 0b011111111111111111111111111111111
+47 0 4.29497e+009 0b011111111111111111111111111111111
+48 0 4.29497e+009 0b011111111111111111111111111111111
+49 0 4.29497e+009 0b011111111111111111111111111111111
+50 0 4.29497e+009 0b011111111111111111111111111111111
+51 0 4.29497e+009 0b011111111111111111111111111111111
+52 0 4.29497e+009 0b011111111111111111111111111111111
+53 0 4.29497e+009 0b011111111111111111111111111111111
+54 0 4.29497e+009 0b011111111111111111111111111111111
+55 0 4.29497e+009 0b011111111111111111111111111111111
+56 0 4.29497e+009 0b011111111111111111111111111111111
+57 0 4.29497e+009 0b011111111111111111111111111111111
+58 0 4.29497e+009 0b011111111111111111111111111111111
+59 0 4.29497e+009 0b011111111111111111111111111111111
+60 0 4.29497e+009 0b011111111111111111111111111111111
+61 0 4.29497e+009 0b011111111111111111111111111111111
+62 0 4.29497e+009 0b011111111111111111111111111111111
+63 0 4.29497e+009 0b011111111111111111111111111111111
+64 0 4.29497e+009 0b011111111111111111111111111111111
+65 0 4.29497e+009 0b011111111111111111111111111111111
+66 0 4.29497e+009 0b011111111111111111111111111111111
+67 0 4.29497e+009 0b011111111111111111111111111111111
+68 0 4.29497e+009 0b011111111111111111111111111111111
+69 0 4.29497e+009 0b011111111111111111111111111111111
+70 0 4.29497e+009 0b011111111111111111111111111111111
+71 0 4.29497e+009 0b011111111111111111111111111111111
+72 0 4.29497e+009 0b011111111111111111111111111111111
+73 0 4.29497e+009 0b011111111111111111111111111111111
+74 0 4.29497e+009 0b011111111111111111111111111111111
+75 0 4.29497e+009 0b011111111111111111111111111111111
+76 0 4.29497e+009 0b011111111111111111111111111111111
+77 0 4.29497e+009 0b011111111111111111111111111111111
+78 0 4.29497e+009 0b011111111111111111111111111111111
+79 0 4.29497e+009 0b011111111111111111111111111111111
+80 0 4.29497e+009 0b011111111111111111111111111111111
+81 0 4.29497e+009 0b011111111111111111111111111111111
+82 0 4.29497e+009 0b011111111111111111111111111111111
+83 0 4.29497e+009 0b011111111111111111111111111111111
+84 0 4.29497e+009 0b011111111111111111111111111111111
+85 0 4.29497e+009 0b011111111111111111111111111111111
+86 0 4.29497e+009 0b011111111111111111111111111111111
+87 0 4.29497e+009 0b011111111111111111111111111111111
+88 0 4.29497e+009 0b011111111111111111111111111111111
+89 0 4.29497e+009 0b011111111111111111111111111111111
+90 0 4.29497e+009 0b011111111111111111111111111111111
+91 0 4.29497e+009 0b011111111111111111111111111111111
+92 0 4.29497e+009 0b011111111111111111111111111111111
+93 0 4.29497e+009 0b011111111111111111111111111111111
+94 0 4.29497e+009 0b011111111111111111111111111111111
+95 0 4.29497e+009 0b011111111111111111111111111111111
+96 0 4.29497e+009 0b011111111111111111111111111111111
+97 0 4.29497e+009 0b011111111111111111111111111111111
+98 0 4.29497e+009 0b011111111111111111111111111111111
+99 0 4.29497e+009 0b011111111111111111111111111111111
+100 0 4.29497e+009 0b011111111111111111111111111111111
+101 0 4.29497e+009 0b011111111111111111111111111111111
+102 0 4.29497e+009 0b011111111111111111111111111111111
+103 0 4.29497e+009 0b011111111111111111111111111111111
+104 0 4.29497e+009 0b011111111111111111111111111111111
+105 0 4.29497e+009 0b011111111111111111111111111111111
+106 0 4.29497e+009 0b011111111111111111111111111111111
+107 0 4.29497e+009 0b011111111111111111111111111111111
+108 0 4.29497e+009 0b011111111111111111111111111111111
+109 0 4.29497e+009 0b011111111111111111111111111111111
+110 0 4.29497e+009 0b011111111111111111111111111111111
+111 0 4.29497e+009 0b011111111111111111111111111111111
+112 0 4.29497e+009 0b011111111111111111111111111111111
+113 0 4.29497e+009 0b011111111111111111111111111111111
+114 0 4.29497e+009 0b011111111111111111111111111111111
+115 0 4.29497e+009 0b011111111111111111111111111111111
+116 0 4.29497e+009 0b011111111111111111111111111111111
+117 0 4.29497e+009 0b011111111111111111111111111111111
+118 0 4.29497e+009 0b011111111111111111111111111111111
+119 0 4.29497e+009 0b011111111111111111111111111111111
+120 0 4.29497e+009 0b011111111111111111111111111111111
+121 0 4.29497e+009 0b011111111111111111111111111111111
+122 0 4.29497e+009 0b011111111111111111111111111111111
+123 0 4.29497e+009 0b011111111111111111111111111111111
+124 0 4.29497e+009 0b011111111111111111111111111111111
+125 0 4.29497e+009 0b011111111111111111111111111111111
+126 0 4.29497e+009 0b011111111111111111111111111111111
+127 0 4.29497e+009 0b011111111111111111111111111111111
+128 0 4.29497e+009 0b011111111111111111111111111111111
+129 0 4.29497e+009 0b011111111111111111111111111111111
+130 0 4.29497e+009 0b011111111111111111111111111111111
+131 0 4.29497e+009 0b011111111111111111111111111111111
+132 0 4.29497e+009 0b011111111111111111111111111111111
+133 0 4.29497e+009 0b011111111111111111111111111111111
+134 0 4.29497e+009 0b011111111111111111111111111111111
+135 0 4.29497e+009 0b011111111111111111111111111111111
+136 0 4.29497e+009 0b011111111111111111111111111111111
+137 0 4.29497e+009 0b011111111111111111111111111111111
+138 0 4.29497e+009 0b011111111111111111111111111111111
+139 0 4.29497e+009 0b011111111111111111111111111111111
+140 0 4.29497e+009 0b011111111111111111111111111111111
+141 0 4.29497e+009 0b011111111111111111111111111111111
+142 0 4.29497e+009 0b011111111111111111111111111111111
+143 0 4.29497e+009 0b011111111111111111111111111111111
+144 0 4.29497e+009 0b011111111111111111111111111111111
+145 0 4.29497e+009 0b011111111111111111111111111111111
+146 0 4.29497e+009 0b011111111111111111111111111111111
+147 0 4.29497e+009 0b011111111111111111111111111111111
+148 0 4.29497e+009 0b011111111111111111111111111111111
+149 0 4.29497e+009 0b011111111111111111111111111111111
+150 0 4.29497e+009 0b011111111111111111111111111111111
+151 0 4.29497e+009 0b011111111111111111111111111111111
+152 0 4.29497e+009 0b011111111111111111111111111111111
+153 0 4.29497e+009 0b011111111111111111111111111111111
+154 0 4.29497e+009 0b011111111111111111111111111111111
+155 0 4.29497e+009 0b011111111111111111111111111111111
+156 0 4.29497e+009 0b011111111111111111111111111111111
+157 0 4.29497e+009 0b011111111111111111111111111111111
+158 0 4.29497e+009 0b011111111111111111111111111111111
+159 0 4.29497e+009 0b011111111111111111111111111111111
+160 0 4.29497e+009 0b011111111111111111111111111111111
+161 0 4.29497e+009 0b011111111111111111111111111111111
+162 0 4.29497e+009 0b011111111111111111111111111111111
+163 0 4.29497e+009 0b011111111111111111111111111111111
+164 0 4.29497e+009 0b011111111111111111111111111111111
+165 0 4.29497e+009 0b011111111111111111111111111111111
+166 0 4.29497e+009 0b011111111111111111111111111111111
+167 0 4.29497e+009 0b011111111111111111111111111111111
+168 0 4.29497e+009 0b011111111111111111111111111111111
+169 0 4.29497e+009 0b011111111111111111111111111111111
+170 0 4.29497e+009 0b011111111111111111111111111111111
+171 0 4.29497e+009 0b011111111111111111111111111111111
+172 0 4.29497e+009 0b011111111111111111111111111111111
+173 0 4.29497e+009 0b011111111111111111111111111111111
+174 0 4.29497e+009 0b011111111111111111111111111111111
+175 0 4.29497e+009 0b011111111111111111111111111111111
+176 0 4.29497e+009 0b011111111111111111111111111111111
+177 0 4.29497e+009 0b011111111111111111111111111111111
+178 0 4.29497e+009 0b011111111111111111111111111111111
+179 0 4.29497e+009 0b011111111111111111111111111111111
+180 0 4.29497e+009 0b011111111111111111111111111111111
+181 0 4.29497e+009 0b011111111111111111111111111111111
+182 0 4.29497e+009 0b011111111111111111111111111111111
+183 0 4.29497e+009 0b011111111111111111111111111111111
+184 0 4.29497e+009 0b011111111111111111111111111111111
+185 0 4.29497e+009 0b011111111111111111111111111111111
+186 0 4.29497e+009 0b011111111111111111111111111111111
+187 0 4.29497e+009 0b011111111111111111111111111111111
+188 0 4.29497e+009 0b011111111111111111111111111111111
+189 0 4.29497e+009 0b011111111111111111111111111111111
+190 0 4.29497e+009 0b011111111111111111111111111111111
+191 0 4.29497e+009 0b011111111111111111111111111111111
+192 0 4.29497e+009 0b011111111111111111111111111111111
+193 0 4.29497e+009 0b011111111111111111111111111111111
+194 0 4.29497e+009 0b011111111111111111111111111111111
+195 0 4.29497e+009 0b011111111111111111111111111111111
+196 0 4.29497e+009 0b011111111111111111111111111111111
+197 0 4.29497e+009 0b011111111111111111111111111111111
+198 0 4.29497e+009 0b011111111111111111111111111111111
+199 0 4.29497e+009 0b011111111111111111111111111111111
+200 0 4.29497e+009 0b011111111111111111111111111111111
+201 0 4.29497e+009 0b011111111111111111111111111111111
+202 0 4.29497e+009 0b011111111111111111111111111111111
+203 0 4.29497e+009 0b011111111111111111111111111111111
+204 0 4.29497e+009 0b011111111111111111111111111111111
+205 0 4.29497e+009 0b011111111111111111111111111111111
+206 0 4.29497e+009 0b011111111111111111111111111111111
+207 0 4.29497e+009 0b011111111111111111111111111111111
+208 0 4.29497e+009 0b011111111111111111111111111111111
+209 0 4.29497e+009 0b011111111111111111111111111111111
+210 0 4.29497e+009 0b011111111111111111111111111111111
+211 0 4.29497e+009 0b011111111111111111111111111111111
+212 0 4.29497e+009 0b011111111111111111111111111111111
+213 0 4.29497e+009 0b011111111111111111111111111111111
+214 0 4.29497e+009 0b011111111111111111111111111111111
+215 0 4.29497e+009 0b011111111111111111111111111111111
+216 0 4.29497e+009 0b011111111111111111111111111111111
+217 0 4.29497e+009 0b011111111111111111111111111111111
+218 0 4.29497e+009 0b011111111111111111111111111111111
+219 0 4.29497e+009 0b011111111111111111111111111111111
+220 0 4.29497e+009 0b011111111111111111111111111111111
+221 0 4.29497e+009 0b011111111111111111111111111111111
+222 0 4.29497e+009 0b011111111111111111111111111111111
+223 0 4.29497e+009 0b011111111111111111111111111111111
+224 0 4.29497e+009 0b011111111111111111111111111111111
+225 0 4.29497e+009 0b011111111111111111111111111111111
+226 0 4.29497e+009 0b011111111111111111111111111111111
+227 0 4.29497e+009 0b011111111111111111111111111111111
+228 0 4.29497e+009 0b011111111111111111111111111111111
+229 0 4.29497e+009 0b011111111111111111111111111111111
+230 0 4.29497e+009 0b011111111111111111111111111111111
+231 0 4.29497e+009 0b011111111111111111111111111111111
+232 0 4.29497e+009 0b011111111111111111111111111111111
+233 0 4.29497e+009 0b011111111111111111111111111111111
+234 0 4.29497e+009 0b011111111111111111111111111111111
+235 0 4.29497e+009 0b011111111111111111111111111111111
+236 0 4.29497e+009 0b011111111111111111111111111111111
+237 0 4.29497e+009 0b011111111111111111111111111111111
+238 0 4.29497e+009 0b011111111111111111111111111111111
+239 0 4.29497e+009 0b011111111111111111111111111111111
+240 0 4.29497e+009 0b011111111111111111111111111111111
+241 0 4.29497e+009 0b011111111111111111111111111111111
+242 0 4.29497e+009 0b011111111111111111111111111111111
+243 0 4.29497e+009 0b011111111111111111111111111111111
+244 0 4.29497e+009 0b011111111111111111111111111111111
+245 0 4.29497e+009 0b011111111111111111111111111111111
+246 0 4.29497e+009 0b011111111111111111111111111111111
+247 0 4.29497e+009 0b011111111111111111111111111111111
+248 0 4.29497e+009 0b011111111111111111111111111111111
+249 0 4.29497e+009 0b011111111111111111111111111111111
+250 0 4.29497e+009 0b011111111111111111111111111111111
+251 0 4.29497e+009 0b011111111111111111111111111111111
+252 0 4.29497e+009 0b011111111111111111111111111111111
+253 0 4.29497e+009 0b011111111111111111111111111111111
+254 0 4.29497e+009 0b011111111111111111111111111111111
+255 0 4.29497e+009 0b011111111111111111111111111111111
+256 0 4.29497e+009 0b011111111111111111111111111111111
+257 0 4.29497e+009 0b011111111111111111111111111111111
+258 0 4.29497e+009 0b011111111111111111111111111111111
+259 0 4.29497e+009 0b011111111111111111111111111111111
+260 0 4.29497e+009 0b011111111111111111111111111111111
+261 0 4.29497e+009 0b011111111111111111111111111111111
+262 0 4.29497e+009 0b011111111111111111111111111111111
+263 0 4.29497e+009 0b011111111111111111111111111111111
+264 0 4.29497e+009 0b011111111111111111111111111111111
+265 0 4.29497e+009 0b011111111111111111111111111111111
+266 0 4.29497e+009 0b011111111111111111111111111111111
+267 0 4.29497e+009 0b011111111111111111111111111111111
+268 0 4.29497e+009 0b011111111111111111111111111111111
+269 0 4.29497e+009 0b011111111111111111111111111111111
+270 0 4.29497e+009 0b011111111111111111111111111111111
+271 0 4.29497e+009 0b011111111111111111111111111111111
+272 0 4.29497e+009 0b011111111111111111111111111111111
+273 0 4.29497e+009 0b011111111111111111111111111111111
+274 0 4.29497e+009 0b011111111111111111111111111111111
+275 0 4.29497e+009 0b011111111111111111111111111111111
+276 0 4.29497e+009 0b011111111111111111111111111111111
+277 0 4.29497e+009 0b011111111111111111111111111111111
+278 0 4.29497e+009 0b011111111111111111111111111111111
+279 0 4.29497e+009 0b011111111111111111111111111111111
+280 0 4.29497e+009 0b011111111111111111111111111111111
+281 0 4.29497e+009 0b011111111111111111111111111111111
+282 0 4.29497e+009 0b011111111111111111111111111111111
+283 0 4.29497e+009 0b011111111111111111111111111111111
+284 0 4.29497e+009 0b011111111111111111111111111111111
+285 0 4.29497e+009 0b011111111111111111111111111111111
+286 0 4.29497e+009 0b011111111111111111111111111111111
+287 0 4.29497e+009 0b011111111111111111111111111111111
+288 0 4.29497e+009 0b011111111111111111111111111111111
+289 0 4.29497e+009 0b011111111111111111111111111111111
+290 0 4.29497e+009 0b011111111111111111111111111111111
+291 0 4.29497e+009 0b011111111111111111111111111111111
+292 0 4.29497e+009 0b011111111111111111111111111111111
+293 0 4.29497e+009 0b011111111111111111111111111111111
+294 0 4.29497e+009 0b011111111111111111111111111111111
+295 0 4.29497e+009 0b011111111111111111111111111111111
+296 0 4.29497e+009 0b011111111111111111111111111111111
+297 0 4.29497e+009 0b011111111111111111111111111111111
+298 0 4.29497e+009 0b011111111111111111111111111111111
+299 0 4.29497e+009 0b011111111111111111111111111111111
+0 0 4.29497e+009 0b011111111111111111111111111111111
+1 0 4.29497e+009 0b011111111111111111111111111111111
+2 0 4.29497e+009 0b011111111111111111111111111111111
+3 0 4.29497e+009 0b011111111111111111111111111111111
+4 0 4.29497e+009 0b011111111111111111111111111111111
+5 0 4.29497e+009 0b011111111111111111111111111111111
+6 0 4.29497e+009 0b011111111111111111111111111111111
+7 0 4.29497e+009 0b011111111111111111111111111111111
+8 0 4.29497e+009 0b011111111111111111111111111111111
+9 0 4.29497e+009 0b011111111111111111111111111111111
+10 0 4.29497e+009 0b011111111111111111111111111111111
+11 0 4.29497e+009 0b011111111111111111111111111111111
+12 0 4.29497e+009 0b011111111111111111111111111111111
+13 0 4.29497e+009 0b011111111111111111111111111111111
+14 0 4.29497e+009 0b011111111111111111111111111111111
+15 0 4.29497e+009 0b011111111111111111111111111111111
+16 0 4.29497e+009 0b011111111111111111111111111111111
+17 0 4.29497e+009 0b011111111111111111111111111111111
+18 0 4.29497e+009 0b011111111111111111111111111111111
+19 0 4.29497e+009 0b011111111111111111111111111111111
+20 0 4.29497e+009 0b011111111111111111111111111111111
+21 0 4.29497e+009 0b011111111111111111111111111111111
+22 0 4.29497e+009 0b011111111111111111111111111111111
+23 0 4.29497e+009 0b011111111111111111111111111111111
+24 0 4.29497e+009 0b011111111111111111111111111111111
+25 0 4.29497e+009 0b011111111111111111111111111111111
+26 0 4.29497e+009 0b011111111111111111111111111111111
+27 0 4.29497e+009 0b011111111111111111111111111111111
+28 0 4.29497e+009 0b011111111111111111111111111111111
+29 0 4.29497e+009 0b011111111111111111111111111111111
+30 0 4.29497e+009 0b011111111111111111111111111111111
+31 0 4.29497e+009 0b011111111111111111111111111111111
+32 0 4.29497e+009 0b011111111111111111111111111111111
+33 0 4.29497e+009 0b011111111111111111111111111111111
+34 0 4.29497e+009 0b011111111111111111111111111111111
+35 0 4.29497e+009 0b011111111111111111111111111111111
+36 0 4.29497e+009 0b011111111111111111111111111111111
+37 0 4.29497e+009 0b011111111111111111111111111111111
+38 0 4.29497e+009 0b011111111111111111111111111111111
+39 0 4.29497e+009 0b011111111111111111111111111111111
+40 0 4.29497e+009 0b011111111111111111111111111111111
+41 0 4.29497e+009 0b011111111111111111111111111111111
+42 0 4.29497e+009 0b011111111111111111111111111111111
+43 0 4.29497e+009 0b011111111111111111111111111111111
+44 0 4.29497e+009 0b011111111111111111111111111111111
+45 0 4.29497e+009 0b011111111111111111111111111111111
+46 0 4.29497e+009 0b011111111111111111111111111111111
+47 0 4.29497e+009 0b011111111111111111111111111111111
+48 0 4.29497e+009 0b011111111111111111111111111111111
+49 0 4.29497e+009 0b011111111111111111111111111111111
+50 0 4.29497e+009 0b011111111111111111111111111111111
+51 0 4.29497e+009 0b011111111111111111111111111111111
+52 0 4.29497e+009 0b011111111111111111111111111111111
+53 0 4.29497e+009 0b011111111111111111111111111111111
+54 0 4.29497e+009 0b011111111111111111111111111111111
+55 0 4.29497e+009 0b011111111111111111111111111111111
+56 0 4.29497e+009 0b011111111111111111111111111111111
+57 0 4.29497e+009 0b011111111111111111111111111111111
+58 0 4.29497e+009 0b011111111111111111111111111111111
+59 0 4.29497e+009 0b011111111111111111111111111111111
+60 0 4.29497e+009 0b011111111111111111111111111111111
+61 0 4.29497e+009 0b011111111111111111111111111111111
+62 0 4.29497e+009 0b011111111111111111111111111111111
+63 0 4.29497e+009 0b011111111111111111111111111111111
+64 0 4.29497e+009 0b011111111111111111111111111111111
+65 0 4.29497e+009 0b011111111111111111111111111111111
+66 0 4.29497e+009 0b011111111111111111111111111111111
+67 0 4.29497e+009 0b011111111111111111111111111111111
+68 0 4.29497e+009 0b011111111111111111111111111111111
+69 0 4.29497e+009 0b011111111111111111111111111111111
+70 0 4.29497e+009 0b011111111111111111111111111111111
+71 0 4.29497e+009 0b011111111111111111111111111111111
+72 0 4.29497e+009 0b011111111111111111111111111111111
+73 0 4.29497e+009 0b011111111111111111111111111111111
+74 0 4.29497e+009 0b011111111111111111111111111111111
+75 0 4.29497e+009 0b011111111111111111111111111111111
+76 0 4.29497e+009 0b011111111111111111111111111111111
+77 0 4.29497e+009 0b011111111111111111111111111111111
+78 0 4.29497e+009 0b011111111111111111111111111111111
+79 0 4.29497e+009 0b011111111111111111111111111111111
+80 0 4.29497e+009 0b011111111111111111111111111111111
+81 0 4.29497e+009 0b011111111111111111111111111111111
+82 0 4.29497e+009 0b011111111111111111111111111111111
+83 0 4.29497e+009 0b011111111111111111111111111111111
+84 0 4.29497e+009 0b011111111111111111111111111111111
+85 0 4.29497e+009 0b011111111111111111111111111111111
+86 0 4.29497e+009 0b011111111111111111111111111111111
+87 0 4.29497e+009 0b011111111111111111111111111111111
+88 0 4.29497e+009 0b011111111111111111111111111111111
+89 0 4.29497e+009 0b011111111111111111111111111111111
+90 0 4.29497e+009 0b011111111111111111111111111111111
+91 0 4.29497e+009 0b011111111111111111111111111111111
+92 0 4.29497e+009 0b011111111111111111111111111111111
+93 0 4.29497e+009 0b011111111111111111111111111111111
+94 0 4.29497e+009 0b011111111111111111111111111111111
+95 0 4.29497e+009 0b011111111111111111111111111111111
+96 0 4.29497e+009 0b011111111111111111111111111111111
+97 0 4.29497e+009 0b011111111111111111111111111111111
+98 0 4.29497e+009 0b011111111111111111111111111111111
+99 0 4.29497e+009 0b011111111111111111111111111111111
+100 0 4.29497e+009 0b011111111111111111111111111111111
+101 0 4.29497e+009 0b011111111111111111111111111111111
+102 0 4.29497e+009 0b011111111111111111111111111111111
+103 0 4.29497e+009 0b011111111111111111111111111111111
+104 0 4.29497e+009 0b011111111111111111111111111111111
+105 0 4.29497e+009 0b011111111111111111111111111111111
+106 0 4.29497e+009 0b011111111111111111111111111111111
+107 0 4.29497e+009 0b011111111111111111111111111111111
+108 0 4.29497e+009 0b011111111111111111111111111111111
+109 0 4.29497e+009 0b011111111111111111111111111111111
+110 0 4.29497e+009 0b011111111111111111111111111111111
+111 0 4.29497e+009 0b011111111111111111111111111111111
+112 0 4.29497e+009 0b011111111111111111111111111111111
+113 0 4.29497e+009 0b011111111111111111111111111111111
+114 0 4.29497e+009 0b011111111111111111111111111111111
+115 0 4.29497e+009 0b011111111111111111111111111111111
+116 0 4.29497e+009 0b011111111111111111111111111111111
+117 0 4.29497e+009 0b011111111111111111111111111111111
+118 0 4.29497e+009 0b011111111111111111111111111111111
+119 0 4.29497e+009 0b011111111111111111111111111111111
+120 0 4.29497e+009 0b011111111111111111111111111111111
+121 0 4.29497e+009 0b011111111111111111111111111111111
+122 0 4.29497e+009 0b011111111111111111111111111111111
+123 0 4.29497e+009 0b011111111111111111111111111111111
+124 0 4.29497e+009 0b011111111111111111111111111111111
+125 0 4.29497e+009 0b011111111111111111111111111111111
+126 0 4.29497e+009 0b011111111111111111111111111111111
+127 0 4.29497e+009 0b011111111111111111111111111111111
+128 0 4.29497e+009 0b011111111111111111111111111111111
+129 0 4.29497e+009 0b011111111111111111111111111111111
+130 0 4.29497e+009 0b011111111111111111111111111111111
+131 0 4.29497e+009 0b011111111111111111111111111111111
+132 0 4.29497e+009 0b011111111111111111111111111111111
+133 0 4.29497e+009 0b011111111111111111111111111111111
+134 0 4.29497e+009 0b011111111111111111111111111111111
+135 0 4.29497e+009 0b011111111111111111111111111111111
+136 0 4.29497e+009 0b011111111111111111111111111111111
+137 0 4.29497e+009 0b011111111111111111111111111111111
+138 0 4.29497e+009 0b011111111111111111111111111111111
+139 0 4.29497e+009 0b011111111111111111111111111111111
+140 0 4.29497e+009 0b011111111111111111111111111111111
+141 0 4.29497e+009 0b011111111111111111111111111111111
+142 0 4.29497e+009 0b011111111111111111111111111111111
+143 0 4.29497e+009 0b011111111111111111111111111111111
+144 0 4.29497e+009 0b011111111111111111111111111111111
+145 0 4.29497e+009 0b011111111111111111111111111111111
+146 0 4.29497e+009 0b011111111111111111111111111111111
+147 0 4.29497e+009 0b011111111111111111111111111111111
+148 0 4.29497e+009 0b011111111111111111111111111111111
+149 0 4.29497e+009 0b011111111111111111111111111111111
+150 0 4.29497e+009 0b011111111111111111111111111111111
+151 0 4.29497e+009 0b011111111111111111111111111111111
+152 0 4.29497e+009 0b011111111111111111111111111111111
+153 0 4.29497e+009 0b011111111111111111111111111111111
+154 0 4.29497e+009 0b011111111111111111111111111111111
+155 0 4.29497e+009 0b011111111111111111111111111111111
+156 0 4.29497e+009 0b011111111111111111111111111111111
+157 0 4.29497e+009 0b011111111111111111111111111111111
+158 0 4.29497e+009 0b011111111111111111111111111111111
+159 0 4.29497e+009 0b011111111111111111111111111111111
+160 0 4.29497e+009 0b011111111111111111111111111111111
+161 0 4.29497e+009 0b011111111111111111111111111111111
+162 0 4.29497e+009 0b011111111111111111111111111111111
+163 0 4.29497e+009 0b011111111111111111111111111111111
+164 0 4.29497e+009 0b011111111111111111111111111111111
+165 0 4.29497e+009 0b011111111111111111111111111111111
+166 0 4.29497e+009 0b011111111111111111111111111111111
+167 0 4.29497e+009 0b011111111111111111111111111111111
+168 0 4.29497e+009 0b011111111111111111111111111111111
+169 0 4.29497e+009 0b011111111111111111111111111111111
+170 0 4.29497e+009 0b011111111111111111111111111111111
+171 0 4.29497e+009 0b011111111111111111111111111111111
+172 0 4.29497e+009 0b011111111111111111111111111111111
+173 0 4.29497e+009 0b011111111111111111111111111111111
+174 0 4.29497e+009 0b011111111111111111111111111111111
+175 0 4.29497e+009 0b011111111111111111111111111111111
+176 0 4.29497e+009 0b011111111111111111111111111111111
+177 0 4.29497e+009 0b011111111111111111111111111111111
+178 0 4.29497e+009 0b011111111111111111111111111111111
+179 0 4.29497e+009 0b011111111111111111111111111111111
+180 0 4.29497e+009 0b011111111111111111111111111111111
+181 0 4.29497e+009 0b011111111111111111111111111111111
+182 0 4.29497e+009 0b011111111111111111111111111111111
+183 0 4.29497e+009 0b011111111111111111111111111111111
+184 0 4.29497e+009 0b011111111111111111111111111111111
+185 0 4.29497e+009 0b011111111111111111111111111111111
+186 0 4.29497e+009 0b011111111111111111111111111111111
+187 0 4.29497e+009 0b011111111111111111111111111111111
+188 0 4.29497e+009 0b011111111111111111111111111111111
+189 0 4.29497e+009 0b011111111111111111111111111111111
+190 0 4.29497e+009 0b011111111111111111111111111111111
+191 0 4.29497e+009 0b011111111111111111111111111111111
+192 0 4.29497e+009 0b011111111111111111111111111111111
+193 0 4.29497e+009 0b011111111111111111111111111111111
+194 0 4.29497e+009 0b011111111111111111111111111111111
+195 0 4.29497e+009 0b011111111111111111111111111111111
+196 0 4.29497e+009 0b011111111111111111111111111111111
+197 0 4.29497e+009 0b011111111111111111111111111111111
+198 0 4.29497e+009 0b011111111111111111111111111111111
+199 0 4.29497e+009 0b011111111111111111111111111111111
+200 0 4.29497e+009 0b011111111111111111111111111111111
+201 0 4.29497e+009 0b011111111111111111111111111111111
+202 0 4.29497e+009 0b011111111111111111111111111111111
+203 0 4.29497e+009 0b011111111111111111111111111111111
+204 0 4.29497e+009 0b011111111111111111111111111111111
+205 0 4.29497e+009 0b011111111111111111111111111111111
+206 0 4.29497e+009 0b011111111111111111111111111111111
+207 0 4.29497e+009 0b011111111111111111111111111111111
+208 0 4.29497e+009 0b011111111111111111111111111111111
+209 0 4.29497e+009 0b011111111111111111111111111111111
+210 0 4.29497e+009 0b011111111111111111111111111111111
+211 0 4.29497e+009 0b011111111111111111111111111111111
+212 0 4.29497e+009 0b011111111111111111111111111111111
+213 0 4.29497e+009 0b011111111111111111111111111111111
+214 0 4.29497e+009 0b011111111111111111111111111111111
+215 0 4.29497e+009 0b011111111111111111111111111111111
+216 0 4.29497e+009 0b011111111111111111111111111111111
+217 0 4.29497e+009 0b011111111111111111111111111111111
+218 0 4.29497e+009 0b011111111111111111111111111111111
+219 0 4.29497e+009 0b011111111111111111111111111111111
+220 0 4.29497e+009 0b011111111111111111111111111111111
+221 0 4.29497e+009 0b011111111111111111111111111111111
+222 0 4.29497e+009 0b011111111111111111111111111111111
+223 0 4.29497e+009 0b011111111111111111111111111111111
+224 0 4.29497e+009 0b011111111111111111111111111111111
+225 0 4.29497e+009 0b011111111111111111111111111111111
+226 0 4.29497e+009 0b011111111111111111111111111111111
+227 0 4.29497e+009 0b011111111111111111111111111111111
+228 0 4.29497e+009 0b011111111111111111111111111111111
+229 0 4.29497e+009 0b011111111111111111111111111111111
+230 0 4.29497e+009 0b011111111111111111111111111111111
+231 0 4.29497e+009 0b011111111111111111111111111111111
+232 0 4.29497e+009 0b011111111111111111111111111111111
+233 0 4.29497e+009 0b011111111111111111111111111111111
+234 0 4.29497e+009 0b011111111111111111111111111111111
+235 0 4.29497e+009 0b011111111111111111111111111111111
+236 0 4.29497e+009 0b011111111111111111111111111111111
+237 0 4.29497e+009 0b011111111111111111111111111111111
+238 0 4.29497e+009 0b011111111111111111111111111111111
+239 0 4.29497e+009 0b011111111111111111111111111111111
+240 0 4.29497e+009 0b011111111111111111111111111111111
+241 0 4.29497e+009 0b011111111111111111111111111111111
+242 0 4.29497e+009 0b011111111111111111111111111111111
+243 0 4.29497e+009 0b011111111111111111111111111111111
+244 0 4.29497e+009 0b011111111111111111111111111111111
+245 0 4.29497e+009 0b011111111111111111111111111111111
+246 0 4.29497e+009 0b011111111111111111111111111111111
+247 0 4.29497e+009 0b011111111111111111111111111111111
+248 0 4.29497e+009 0b011111111111111111111111111111111
+249 0 4.29497e+009 0b011111111111111111111111111111111
+250 0 4.29497e+009 0b011111111111111111111111111111111
+251 0 4.29497e+009 0b011111111111111111111111111111111
+252 0 4.29497e+009 0b011111111111111111111111111111111
+253 0 4.29497e+009 0b011111111111111111111111111111111
+254 0 4.29497e+009 0b011111111111111111111111111111111
+255 0 4.29497e+009 0b011111111111111111111111111111111
+256 0 4.29497e+009 0b011111111111111111111111111111111
+257 0 4.29497e+009 0b011111111111111111111111111111111
+258 0 4.29497e+009 0b011111111111111111111111111111111
+259 0 4.29497e+009 0b011111111111111111111111111111111
+260 0 4.29497e+009 0b011111111111111111111111111111111
+261 0 4.29497e+009 0b011111111111111111111111111111111
+262 0 4.29497e+009 0b011111111111111111111111111111111
+263 0 4.29497e+009 0b011111111111111111111111111111111
+264 0 4.29497e+009 0b011111111111111111111111111111111
+265 0 4.29497e+009 0b011111111111111111111111111111111
+266 0 4.29497e+009 0b011111111111111111111111111111111
+267 0 4.29497e+009 0b011111111111111111111111111111111
+268 0 4.29497e+009 0b011111111111111111111111111111111
+269 0 4.29497e+009 0b011111111111111111111111111111111
+270 0 4.29497e+009 0b011111111111111111111111111111111
+271 0 4.29497e+009 0b011111111111111111111111111111111
+272 0 4.29497e+009 0b011111111111111111111111111111111
+273 0 4.29497e+009 0b011111111111111111111111111111111
+274 0 4.29497e+009 0b011111111111111111111111111111111
+275 0 4.29497e+009 0b011111111111111111111111111111111
+276 0 4.29497e+009 0b011111111111111111111111111111111
+277 0 4.29497e+009 0b011111111111111111111111111111111
+278 0 4.29497e+009 0b011111111111111111111111111111111
+279 0 4.29497e+009 0b011111111111111111111111111111111
+280 0 4.29497e+009 0b011111111111111111111111111111111
+281 0 4.29497e+009 0b011111111111111111111111111111111
+282 0 4.29497e+009 0b011111111111111111111111111111111
+283 0 4.29497e+009 0b011111111111111111111111111111111
+284 0 4.29497e+009 0b011111111111111111111111111111111
+285 0 4.29497e+009 0b011111111111111111111111111111111
+286 0 4.29497e+009 0b011111111111111111111111111111111
+287 0 4.29497e+009 0b011111111111111111111111111111111
+288 0 4.29497e+009 0b011111111111111111111111111111111
+289 0 4.29497e+009 0b011111111111111111111111111111111
+290 0 4.29497e+009 0b011111111111111111111111111111111
+291 0 4.29497e+009 0b011111111111111111111111111111111
+292 0 4.29497e+009 0b011111111111111111111111111111111
+293 0 4.29497e+009 0b011111111111111111111111111111111
+294 0 4.29497e+009 0b011111111111111111111111111111111
+295 0 4.29497e+009 0b011111111111111111111111111111111
+296 0 4.29497e+009 0b011111111111111111111111111111111
+297 0 4.29497e+009 0b011111111111111111111111111111111
+298 0 4.29497e+009 0b011111111111111111111111111111111
+299 0 4.29497e+009 0b011111111111111111111111111111111
+0 2 3 0b00000000000000000000000000000011
+1 4 7 0b00000000000000000000000000000111
+2 8 15 0b00000000000000000000000000001111
+3 16 31 0b00000000000000000000000000011111
+4 32 63 0b00000000000000000000000000111111
+5 64 127 0b00000000000000000000000001111111
+6 128 255 0b00000000000000000000000011111111
+7 256 511 0b00000000000000000000000111111111
+8 512 1023 0b00000000000000000000001111111111
+9 1024 2047 0b00000000000000000000011111111111
+10 2048 4095 0b00000000000000000000111111111111
+11 4096 8191 0b00000000000000000001111111111111
+12 8192 16383 0b00000000000000000011111111111111
+13 16384 32767 0b00000000000000000111111111111111
+14 32768 65535 0b00000000000000001111111111111111
+15 65536 131071 0b00000000000000011111111111111111
+16 131072 262143 0b00000000000000111111111111111111
+17 262144 524287 0b00000000000001111111111111111111
+18 524288 1.04858e+006 0b00000000000011111111111111111111
+19 1.04858e+006 2.09715e+006 0b00000000000111111111111111111111
+20 2.09715e+006 4.1943e+006 0b00000000001111111111111111111111
+21 4.1943e+006 8.38861e+006 0b00000000011111111111111111111111
+22 8.38861e+006 1.67772e+007 0b00000000111111111111111111111111
+23 1.67772e+007 3.35544e+007 0b00000001111111111111111111111111
+24 3.35544e+007 6.71089e+007 0b00000011111111111111111111111111
+25 6.71089e+007 1.34218e+008 0b00000111111111111111111111111111
+26 1.34218e+008 2.68435e+008 0b00001111111111111111111111111111
+27 2.68435e+008 5.36871e+008 0b00011111111111111111111111111111
+28 5.36871e+008 1.07374e+009 0b00111111111111111111111111111111
+29 1.07374e+009 2.14748e+009 0b01111111111111111111111111111111
+30 -2.14748e+009 -1 0b11111111111111111111111111111111
+31 0 -1 0b11111111111111111111111111111111
+32 0 -1 0b11111111111111111111111111111111
+33 0 -1 0b11111111111111111111111111111111
+34 0 -1 0b11111111111111111111111111111111
+35 0 -1 0b11111111111111111111111111111111
+36 0 -1 0b11111111111111111111111111111111
+37 0 -1 0b11111111111111111111111111111111
+38 0 -1 0b11111111111111111111111111111111
+39 0 -1 0b11111111111111111111111111111111
+40 0 -1 0b11111111111111111111111111111111
+41 0 -1 0b11111111111111111111111111111111
+42 0 -1 0b11111111111111111111111111111111
+43 0 -1 0b11111111111111111111111111111111
+44 0 -1 0b11111111111111111111111111111111
+45 0 -1 0b11111111111111111111111111111111
+46 0 -1 0b11111111111111111111111111111111
+47 0 -1 0b11111111111111111111111111111111
+48 0 -1 0b11111111111111111111111111111111
+49 0 -1 0b11111111111111111111111111111111
+50 0 -1 0b11111111111111111111111111111111
+51 0 -1 0b11111111111111111111111111111111
+52 0 -1 0b11111111111111111111111111111111
+53 0 -1 0b11111111111111111111111111111111
+54 0 -1 0b11111111111111111111111111111111
+55 0 -1 0b11111111111111111111111111111111
+56 0 -1 0b11111111111111111111111111111111
+57 0 -1 0b11111111111111111111111111111111
+58 0 -1 0b11111111111111111111111111111111
+59 0 -1 0b11111111111111111111111111111111
+60 0 -1 0b11111111111111111111111111111111
+61 0 -1 0b11111111111111111111111111111111
+62 0 -1 0b11111111111111111111111111111111
+63 0 -1 0b11111111111111111111111111111111
+64 0 -1 0b11111111111111111111111111111111
+65 0 -1 0b11111111111111111111111111111111
+66 0 -1 0b11111111111111111111111111111111
+67 0 -1 0b11111111111111111111111111111111
+68 0 -1 0b11111111111111111111111111111111
+69 0 -1 0b11111111111111111111111111111111
+70 0 -1 0b11111111111111111111111111111111
+71 0 -1 0b11111111111111111111111111111111
+72 0 -1 0b11111111111111111111111111111111
+73 0 -1 0b11111111111111111111111111111111
+74 0 -1 0b11111111111111111111111111111111
+75 0 -1 0b11111111111111111111111111111111
+76 0 -1 0b11111111111111111111111111111111
+77 0 -1 0b11111111111111111111111111111111
+78 0 -1 0b11111111111111111111111111111111
+79 0 -1 0b11111111111111111111111111111111
+80 0 -1 0b11111111111111111111111111111111
+81 0 -1 0b11111111111111111111111111111111
+82 0 -1 0b11111111111111111111111111111111
+83 0 -1 0b11111111111111111111111111111111
+84 0 -1 0b11111111111111111111111111111111
+85 0 -1 0b11111111111111111111111111111111
+86 0 -1 0b11111111111111111111111111111111
+87 0 -1 0b11111111111111111111111111111111
+88 0 -1 0b11111111111111111111111111111111
+89 0 -1 0b11111111111111111111111111111111
+90 0 -1 0b11111111111111111111111111111111
+91 0 -1 0b11111111111111111111111111111111
+92 0 -1 0b11111111111111111111111111111111
+93 0 -1 0b11111111111111111111111111111111
+94 0 -1 0b11111111111111111111111111111111
+95 0 -1 0b11111111111111111111111111111111
+96 0 -1 0b11111111111111111111111111111111
+97 0 -1 0b11111111111111111111111111111111
+98 0 -1 0b11111111111111111111111111111111
+99 0 -1 0b11111111111111111111111111111111
+100 0 -1 0b11111111111111111111111111111111
+101 0 -1 0b11111111111111111111111111111111
+102 0 -1 0b11111111111111111111111111111111
+103 0 -1 0b11111111111111111111111111111111
+104 0 -1 0b11111111111111111111111111111111
+105 0 -1 0b11111111111111111111111111111111
+106 0 -1 0b11111111111111111111111111111111
+107 0 -1 0b11111111111111111111111111111111
+108 0 -1 0b11111111111111111111111111111111
+109 0 -1 0b11111111111111111111111111111111
+110 0 -1 0b11111111111111111111111111111111
+111 0 -1 0b11111111111111111111111111111111
+112 0 -1 0b11111111111111111111111111111111
+113 0 -1 0b11111111111111111111111111111111
+114 0 -1 0b11111111111111111111111111111111
+115 0 -1 0b11111111111111111111111111111111
+116 0 -1 0b11111111111111111111111111111111
+117 0 -1 0b11111111111111111111111111111111
+118 0 -1 0b11111111111111111111111111111111
+119 0 -1 0b11111111111111111111111111111111
+120 0 -1 0b11111111111111111111111111111111
+121 0 -1 0b11111111111111111111111111111111
+122 0 -1 0b11111111111111111111111111111111
+123 0 -1 0b11111111111111111111111111111111
+124 0 -1 0b11111111111111111111111111111111
+125 0 -1 0b11111111111111111111111111111111
+126 0 -1 0b11111111111111111111111111111111
+127 0 -1 0b11111111111111111111111111111111
+128 0 -1 0b11111111111111111111111111111111
+129 0 -1 0b11111111111111111111111111111111
+130 0 -1 0b11111111111111111111111111111111
+131 0 -1 0b11111111111111111111111111111111
+132 0 -1 0b11111111111111111111111111111111
+133 0 -1 0b11111111111111111111111111111111
+134 0 -1 0b11111111111111111111111111111111
+135 0 -1 0b11111111111111111111111111111111
+136 0 -1 0b11111111111111111111111111111111
+137 0 -1 0b11111111111111111111111111111111
+138 0 -1 0b11111111111111111111111111111111
+139 0 -1 0b11111111111111111111111111111111
+140 0 -1 0b11111111111111111111111111111111
+141 0 -1 0b11111111111111111111111111111111
+142 0 -1 0b11111111111111111111111111111111
+143 0 -1 0b11111111111111111111111111111111
+144 0 -1 0b11111111111111111111111111111111
+145 0 -1 0b11111111111111111111111111111111
+146 0 -1 0b11111111111111111111111111111111
+147 0 -1 0b11111111111111111111111111111111
+148 0 -1 0b11111111111111111111111111111111
+149 0 -1 0b11111111111111111111111111111111
+150 0 -1 0b11111111111111111111111111111111
+151 0 -1 0b11111111111111111111111111111111
+152 0 -1 0b11111111111111111111111111111111
+153 0 -1 0b11111111111111111111111111111111
+154 0 -1 0b11111111111111111111111111111111
+155 0 -1 0b11111111111111111111111111111111
+156 0 -1 0b11111111111111111111111111111111
+157 0 -1 0b11111111111111111111111111111111
+158 0 -1 0b11111111111111111111111111111111
+159 0 -1 0b11111111111111111111111111111111
+160 0 -1 0b11111111111111111111111111111111
+161 0 -1 0b11111111111111111111111111111111
+162 0 -1 0b11111111111111111111111111111111
+163 0 -1 0b11111111111111111111111111111111
+164 0 -1 0b11111111111111111111111111111111
+165 0 -1 0b11111111111111111111111111111111
+166 0 -1 0b11111111111111111111111111111111
+167 0 -1 0b11111111111111111111111111111111
+168 0 -1 0b11111111111111111111111111111111
+169 0 -1 0b11111111111111111111111111111111
+170 0 -1 0b11111111111111111111111111111111
+171 0 -1 0b11111111111111111111111111111111
+172 0 -1 0b11111111111111111111111111111111
+173 0 -1 0b11111111111111111111111111111111
+174 0 -1 0b11111111111111111111111111111111
+175 0 -1 0b11111111111111111111111111111111
+176 0 -1 0b11111111111111111111111111111111
+177 0 -1 0b11111111111111111111111111111111
+178 0 -1 0b11111111111111111111111111111111
+179 0 -1 0b11111111111111111111111111111111
+180 0 -1 0b11111111111111111111111111111111
+181 0 -1 0b11111111111111111111111111111111
+182 0 -1 0b11111111111111111111111111111111
+183 0 -1 0b11111111111111111111111111111111
+184 0 -1 0b11111111111111111111111111111111
+185 0 -1 0b11111111111111111111111111111111
+186 0 -1 0b11111111111111111111111111111111
+187 0 -1 0b11111111111111111111111111111111
+188 0 -1 0b11111111111111111111111111111111
+189 0 -1 0b11111111111111111111111111111111
+190 0 -1 0b11111111111111111111111111111111
+191 0 -1 0b11111111111111111111111111111111
+192 0 -1 0b11111111111111111111111111111111
+193 0 -1 0b11111111111111111111111111111111
+194 0 -1 0b11111111111111111111111111111111
+195 0 -1 0b11111111111111111111111111111111
+196 0 -1 0b11111111111111111111111111111111
+197 0 -1 0b11111111111111111111111111111111
+198 0 -1 0b11111111111111111111111111111111
+199 0 -1 0b11111111111111111111111111111111
+200 0 -1 0b11111111111111111111111111111111
+201 0 -1 0b11111111111111111111111111111111
+202 0 -1 0b11111111111111111111111111111111
+203 0 -1 0b11111111111111111111111111111111
+204 0 -1 0b11111111111111111111111111111111
+205 0 -1 0b11111111111111111111111111111111
+206 0 -1 0b11111111111111111111111111111111
+207 0 -1 0b11111111111111111111111111111111
+208 0 -1 0b11111111111111111111111111111111
+209 0 -1 0b11111111111111111111111111111111
+210 0 -1 0b11111111111111111111111111111111
+211 0 -1 0b11111111111111111111111111111111
+212 0 -1 0b11111111111111111111111111111111
+213 0 -1 0b11111111111111111111111111111111
+214 0 -1 0b11111111111111111111111111111111
+215 0 -1 0b11111111111111111111111111111111
+216 0 -1 0b11111111111111111111111111111111
+217 0 -1 0b11111111111111111111111111111111
+218 0 -1 0b11111111111111111111111111111111
+219 0 -1 0b11111111111111111111111111111111
+220 0 -1 0b11111111111111111111111111111111
+221 0 -1 0b11111111111111111111111111111111
+222 0 -1 0b11111111111111111111111111111111
+223 0 -1 0b11111111111111111111111111111111
+224 0 -1 0b11111111111111111111111111111111
+225 0 -1 0b11111111111111111111111111111111
+226 0 -1 0b11111111111111111111111111111111
+227 0 -1 0b11111111111111111111111111111111
+228 0 -1 0b11111111111111111111111111111111
+229 0 -1 0b11111111111111111111111111111111
+230 0 -1 0b11111111111111111111111111111111
+231 0 -1 0b11111111111111111111111111111111
+232 0 -1 0b11111111111111111111111111111111
+233 0 -1 0b11111111111111111111111111111111
+234 0 -1 0b11111111111111111111111111111111
+235 0 -1 0b11111111111111111111111111111111
+236 0 -1 0b11111111111111111111111111111111
+237 0 -1 0b11111111111111111111111111111111
+238 0 -1 0b11111111111111111111111111111111
+239 0 -1 0b11111111111111111111111111111111
+240 0 -1 0b11111111111111111111111111111111
+241 0 -1 0b11111111111111111111111111111111
+242 0 -1 0b11111111111111111111111111111111
+243 0 -1 0b11111111111111111111111111111111
+244 0 -1 0b11111111111111111111111111111111
+245 0 -1 0b11111111111111111111111111111111
+246 0 -1 0b11111111111111111111111111111111
+247 0 -1 0b11111111111111111111111111111111
+248 0 -1 0b11111111111111111111111111111111
+249 0 -1 0b11111111111111111111111111111111
+250 0 -1 0b11111111111111111111111111111111
+251 0 -1 0b11111111111111111111111111111111
+252 0 -1 0b11111111111111111111111111111111
+253 0 -1 0b11111111111111111111111111111111
+254 0 -1 0b11111111111111111111111111111111
+255 0 -1 0b11111111111111111111111111111111
+256 0 -1 0b11111111111111111111111111111111
+257 0 -1 0b11111111111111111111111111111111
+258 0 -1 0b11111111111111111111111111111111
+259 0 -1 0b11111111111111111111111111111111
+260 0 -1 0b11111111111111111111111111111111
+261 0 -1 0b11111111111111111111111111111111
+262 0 -1 0b11111111111111111111111111111111
+263 0 -1 0b11111111111111111111111111111111
+264 0 -1 0b11111111111111111111111111111111
+265 0 -1 0b11111111111111111111111111111111
+266 0 -1 0b11111111111111111111111111111111
+267 0 -1 0b11111111111111111111111111111111
+268 0 -1 0b11111111111111111111111111111111
+269 0 -1 0b11111111111111111111111111111111
+270 0 -1 0b11111111111111111111111111111111
+271 0 -1 0b11111111111111111111111111111111
+272 0 -1 0b11111111111111111111111111111111
+273 0 -1 0b11111111111111111111111111111111
+274 0 -1 0b11111111111111111111111111111111
+275 0 -1 0b11111111111111111111111111111111
+276 0 -1 0b11111111111111111111111111111111
+277 0 -1 0b11111111111111111111111111111111
+278 0 -1 0b11111111111111111111111111111111
+279 0 -1 0b11111111111111111111111111111111
+280 0 -1 0b11111111111111111111111111111111
+281 0 -1 0b11111111111111111111111111111111
+282 0 -1 0b11111111111111111111111111111111
+283 0 -1 0b11111111111111111111111111111111
+284 0 -1 0b11111111111111111111111111111111
+285 0 -1 0b11111111111111111111111111111111
+286 0 -1 0b11111111111111111111111111111111
+287 0 -1 0b11111111111111111111111111111111
+288 0 -1 0b11111111111111111111111111111111
+289 0 -1 0b11111111111111111111111111111111
+290 0 -1 0b11111111111111111111111111111111
+291 0 -1 0b11111111111111111111111111111111
+292 0 -1 0b11111111111111111111111111111111
+293 0 -1 0b11111111111111111111111111111111
+294 0 -1 0b11111111111111111111111111111111
+295 0 -1 0b11111111111111111111111111111111
+296 0 -1 0b11111111111111111111111111111111
+297 0 -1 0b11111111111111111111111111111111
+298 0 -1 0b11111111111111111111111111111111
+299 0 -1 0b11111111111111111111111111111111
+0 0 -1 0b11111111111111111111111111111111
+1 0 -1 0b11111111111111111111111111111111
+2 0 -1 0b11111111111111111111111111111111
+3 0 -1 0b11111111111111111111111111111111
+4 0 -1 0b11111111111111111111111111111111
+5 0 -1 0b11111111111111111111111111111111
+6 0 -1 0b11111111111111111111111111111111
+7 0 -1 0b11111111111111111111111111111111
+8 0 -1 0b11111111111111111111111111111111
+9 0 -1 0b11111111111111111111111111111111
+10 0 -1 0b11111111111111111111111111111111
+11 0 -1 0b11111111111111111111111111111111
+12 0 -1 0b11111111111111111111111111111111
+13 0 -1 0b11111111111111111111111111111111
+14 0 -1 0b11111111111111111111111111111111
+15 0 -1 0b11111111111111111111111111111111
+16 0 -1 0b11111111111111111111111111111111
+17 0 -1 0b11111111111111111111111111111111
+18 0 -1 0b11111111111111111111111111111111
+19 0 -1 0b11111111111111111111111111111111
+20 0 -1 0b11111111111111111111111111111111
+21 0 -1 0b11111111111111111111111111111111
+22 0 -1 0b11111111111111111111111111111111
+23 0 -1 0b11111111111111111111111111111111
+24 0 -1 0b11111111111111111111111111111111
+25 0 -1 0b11111111111111111111111111111111
+26 0 -1 0b11111111111111111111111111111111
+27 0 -1 0b11111111111111111111111111111111
+28 0 -1 0b11111111111111111111111111111111
+29 0 -1 0b11111111111111111111111111111111
+30 0 -1 0b11111111111111111111111111111111
+31 0 -1 0b11111111111111111111111111111111
+32 0 -1 0b11111111111111111111111111111111
+33 0 -1 0b11111111111111111111111111111111
+34 0 -1 0b11111111111111111111111111111111
+35 0 -1 0b11111111111111111111111111111111
+36 0 -1 0b11111111111111111111111111111111
+37 0 -1 0b11111111111111111111111111111111
+38 0 -1 0b11111111111111111111111111111111
+39 0 -1 0b11111111111111111111111111111111
+40 0 -1 0b11111111111111111111111111111111
+41 0 -1 0b11111111111111111111111111111111
+42 0 -1 0b11111111111111111111111111111111
+43 0 -1 0b11111111111111111111111111111111
+44 0 -1 0b11111111111111111111111111111111
+45 0 -1 0b11111111111111111111111111111111
+46 0 -1 0b11111111111111111111111111111111
+47 0 -1 0b11111111111111111111111111111111
+48 0 -1 0b11111111111111111111111111111111
+49 0 -1 0b11111111111111111111111111111111
+50 0 -1 0b11111111111111111111111111111111
+51 0 -1 0b11111111111111111111111111111111
+52 0 -1 0b11111111111111111111111111111111
+53 0 -1 0b11111111111111111111111111111111
+54 0 -1 0b11111111111111111111111111111111
+55 0 -1 0b11111111111111111111111111111111
+56 0 -1 0b11111111111111111111111111111111
+57 0 -1 0b11111111111111111111111111111111
+58 0 -1 0b11111111111111111111111111111111
+59 0 -1 0b11111111111111111111111111111111
+60 0 -1 0b11111111111111111111111111111111
+61 0 -1 0b11111111111111111111111111111111
+62 0 -1 0b11111111111111111111111111111111
+63 0 -1 0b11111111111111111111111111111111
+64 0 -1 0b11111111111111111111111111111111
+65 0 -1 0b11111111111111111111111111111111
+66 0 -1 0b11111111111111111111111111111111
+67 0 -1 0b11111111111111111111111111111111
+68 0 -1 0b11111111111111111111111111111111
+69 0 -1 0b11111111111111111111111111111111
+70 0 -1 0b11111111111111111111111111111111
+71 0 -1 0b11111111111111111111111111111111
+72 0 -1 0b11111111111111111111111111111111
+73 0 -1 0b11111111111111111111111111111111
+74 0 -1 0b11111111111111111111111111111111
+75 0 -1 0b11111111111111111111111111111111
+76 0 -1 0b11111111111111111111111111111111
+77 0 -1 0b11111111111111111111111111111111
+78 0 -1 0b11111111111111111111111111111111
+79 0 -1 0b11111111111111111111111111111111
+80 0 -1 0b11111111111111111111111111111111
+81 0 -1 0b11111111111111111111111111111111
+82 0 -1 0b11111111111111111111111111111111
+83 0 -1 0b11111111111111111111111111111111
+84 0 -1 0b11111111111111111111111111111111
+85 0 -1 0b11111111111111111111111111111111
+86 0 -1 0b11111111111111111111111111111111
+87 0 -1 0b11111111111111111111111111111111
+88 0 -1 0b11111111111111111111111111111111
+89 0 -1 0b11111111111111111111111111111111
+90 0 -1 0b11111111111111111111111111111111
+91 0 -1 0b11111111111111111111111111111111
+92 0 -1 0b11111111111111111111111111111111
+93 0 -1 0b11111111111111111111111111111111
+94 0 -1 0b11111111111111111111111111111111
+95 0 -1 0b11111111111111111111111111111111
+96 0 -1 0b11111111111111111111111111111111
+97 0 -1 0b11111111111111111111111111111111
+98 0 -1 0b11111111111111111111111111111111
+99 0 -1 0b11111111111111111111111111111111
+100 0 -1 0b11111111111111111111111111111111
+101 0 -1 0b11111111111111111111111111111111
+102 0 -1 0b11111111111111111111111111111111
+103 0 -1 0b11111111111111111111111111111111
+104 0 -1 0b11111111111111111111111111111111
+105 0 -1 0b11111111111111111111111111111111
+106 0 -1 0b11111111111111111111111111111111
+107 0 -1 0b11111111111111111111111111111111
+108 0 -1 0b11111111111111111111111111111111
+109 0 -1 0b11111111111111111111111111111111
+110 0 -1 0b11111111111111111111111111111111
+111 0 -1 0b11111111111111111111111111111111
+112 0 -1 0b11111111111111111111111111111111
+113 0 -1 0b11111111111111111111111111111111
+114 0 -1 0b11111111111111111111111111111111
+115 0 -1 0b11111111111111111111111111111111
+116 0 -1 0b11111111111111111111111111111111
+117 0 -1 0b11111111111111111111111111111111
+118 0 -1 0b11111111111111111111111111111111
+119 0 -1 0b11111111111111111111111111111111
+120 0 -1 0b11111111111111111111111111111111
+121 0 -1 0b11111111111111111111111111111111
+122 0 -1 0b11111111111111111111111111111111
+123 0 -1 0b11111111111111111111111111111111
+124 0 -1 0b11111111111111111111111111111111
+125 0 -1 0b11111111111111111111111111111111
+126 0 -1 0b11111111111111111111111111111111
+127 0 -1 0b11111111111111111111111111111111
+128 0 -1 0b11111111111111111111111111111111
+129 0 -1 0b11111111111111111111111111111111
+130 0 -1 0b11111111111111111111111111111111
+131 0 -1 0b11111111111111111111111111111111
+132 0 -1 0b11111111111111111111111111111111
+133 0 -1 0b11111111111111111111111111111111
+134 0 -1 0b11111111111111111111111111111111
+135 0 -1 0b11111111111111111111111111111111
+136 0 -1 0b11111111111111111111111111111111
+137 0 -1 0b11111111111111111111111111111111
+138 0 -1 0b11111111111111111111111111111111
+139 0 -1 0b11111111111111111111111111111111
+140 0 -1 0b11111111111111111111111111111111
+141 0 -1 0b11111111111111111111111111111111
+142 0 -1 0b11111111111111111111111111111111
+143 0 -1 0b11111111111111111111111111111111
+144 0 -1 0b11111111111111111111111111111111
+145 0 -1 0b11111111111111111111111111111111
+146 0 -1 0b11111111111111111111111111111111
+147 0 -1 0b11111111111111111111111111111111
+148 0 -1 0b11111111111111111111111111111111
+149 0 -1 0b11111111111111111111111111111111
+150 0 -1 0b11111111111111111111111111111111
+151 0 -1 0b11111111111111111111111111111111
+152 0 -1 0b11111111111111111111111111111111
+153 0 -1 0b11111111111111111111111111111111
+154 0 -1 0b11111111111111111111111111111111
+155 0 -1 0b11111111111111111111111111111111
+156 0 -1 0b11111111111111111111111111111111
+157 0 -1 0b11111111111111111111111111111111
+158 0 -1 0b11111111111111111111111111111111
+159 0 -1 0b11111111111111111111111111111111
+160 0 -1 0b11111111111111111111111111111111
+161 0 -1 0b11111111111111111111111111111111
+162 0 -1 0b11111111111111111111111111111111
+163 0 -1 0b11111111111111111111111111111111
+164 0 -1 0b11111111111111111111111111111111
+165 0 -1 0b11111111111111111111111111111111
+166 0 -1 0b11111111111111111111111111111111
+167 0 -1 0b11111111111111111111111111111111
+168 0 -1 0b11111111111111111111111111111111
+169 0 -1 0b11111111111111111111111111111111
+170 0 -1 0b11111111111111111111111111111111
+171 0 -1 0b11111111111111111111111111111111
+172 0 -1 0b11111111111111111111111111111111
+173 0 -1 0b11111111111111111111111111111111
+174 0 -1 0b11111111111111111111111111111111
+175 0 -1 0b11111111111111111111111111111111
+176 0 -1 0b11111111111111111111111111111111
+177 0 -1 0b11111111111111111111111111111111
+178 0 -1 0b11111111111111111111111111111111
+179 0 -1 0b11111111111111111111111111111111
+180 0 -1 0b11111111111111111111111111111111
+181 0 -1 0b11111111111111111111111111111111
+182 0 -1 0b11111111111111111111111111111111
+183 0 -1 0b11111111111111111111111111111111
+184 0 -1 0b11111111111111111111111111111111
+185 0 -1 0b11111111111111111111111111111111
+186 0 -1 0b11111111111111111111111111111111
+187 0 -1 0b11111111111111111111111111111111
+188 0 -1 0b11111111111111111111111111111111
+189 0 -1 0b11111111111111111111111111111111
+190 0 -1 0b11111111111111111111111111111111
+191 0 -1 0b11111111111111111111111111111111
+192 0 -1 0b11111111111111111111111111111111
+193 0 -1 0b11111111111111111111111111111111
+194 0 -1 0b11111111111111111111111111111111
+195 0 -1 0b11111111111111111111111111111111
+196 0 -1 0b11111111111111111111111111111111
+197 0 -1 0b11111111111111111111111111111111
+198 0 -1 0b11111111111111111111111111111111
+199 0 -1 0b11111111111111111111111111111111
+200 0 -1 0b11111111111111111111111111111111
+201 0 -1 0b11111111111111111111111111111111
+202 0 -1 0b11111111111111111111111111111111
+203 0 -1 0b11111111111111111111111111111111
+204 0 -1 0b11111111111111111111111111111111
+205 0 -1 0b11111111111111111111111111111111
+206 0 -1 0b11111111111111111111111111111111
+207 0 -1 0b11111111111111111111111111111111
+208 0 -1 0b11111111111111111111111111111111
+209 0 -1 0b11111111111111111111111111111111
+210 0 -1 0b11111111111111111111111111111111
+211 0 -1 0b11111111111111111111111111111111
+212 0 -1 0b11111111111111111111111111111111
+213 0 -1 0b11111111111111111111111111111111
+214 0 -1 0b11111111111111111111111111111111
+215 0 -1 0b11111111111111111111111111111111
+216 0 -1 0b11111111111111111111111111111111
+217 0 -1 0b11111111111111111111111111111111
+218 0 -1 0b11111111111111111111111111111111
+219 0 -1 0b11111111111111111111111111111111
+220 0 -1 0b11111111111111111111111111111111
+221 0 -1 0b11111111111111111111111111111111
+222 0 -1 0b11111111111111111111111111111111
+223 0 -1 0b11111111111111111111111111111111
+224 0 -1 0b11111111111111111111111111111111
+225 0 -1 0b11111111111111111111111111111111
+226 0 -1 0b11111111111111111111111111111111
+227 0 -1 0b11111111111111111111111111111111
+228 0 -1 0b11111111111111111111111111111111
+229 0 -1 0b11111111111111111111111111111111
+230 0 -1 0b11111111111111111111111111111111
+231 0 -1 0b11111111111111111111111111111111
+232 0 -1 0b11111111111111111111111111111111
+233 0 -1 0b11111111111111111111111111111111
+234 0 -1 0b11111111111111111111111111111111
+235 0 -1 0b11111111111111111111111111111111
+236 0 -1 0b11111111111111111111111111111111
+237 0 -1 0b11111111111111111111111111111111
+238 0 -1 0b11111111111111111111111111111111
+239 0 -1 0b11111111111111111111111111111111
+240 0 -1 0b11111111111111111111111111111111
+241 0 -1 0b11111111111111111111111111111111
+242 0 -1 0b11111111111111111111111111111111
+243 0 -1 0b11111111111111111111111111111111
+244 0 -1 0b11111111111111111111111111111111
+245 0 -1 0b11111111111111111111111111111111
+246 0 -1 0b11111111111111111111111111111111
+247 0 -1 0b11111111111111111111111111111111
+248 0 -1 0b11111111111111111111111111111111
+249 0 -1 0b11111111111111111111111111111111
+250 0 -1 0b11111111111111111111111111111111
+251 0 -1 0b11111111111111111111111111111111
+252 0 -1 0b11111111111111111111111111111111
+253 0 -1 0b11111111111111111111111111111111
+254 0 -1 0b11111111111111111111111111111111
+255 0 -1 0b11111111111111111111111111111111
+256 0 -1 0b11111111111111111111111111111111
+257 0 -1 0b11111111111111111111111111111111
+258 0 -1 0b11111111111111111111111111111111
+259 0 -1 0b11111111111111111111111111111111
+260 0 -1 0b11111111111111111111111111111111
+261 0 -1 0b11111111111111111111111111111111
+262 0 -1 0b11111111111111111111111111111111
+263 0 -1 0b11111111111111111111111111111111
+264 0 -1 0b11111111111111111111111111111111
+265 0 -1 0b11111111111111111111111111111111
+266 0 -1 0b11111111111111111111111111111111
+267 0 -1 0b11111111111111111111111111111111
+268 0 -1 0b11111111111111111111111111111111
+269 0 -1 0b11111111111111111111111111111111
+270 0 -1 0b11111111111111111111111111111111
+271 0 -1 0b11111111111111111111111111111111
+272 0 -1 0b11111111111111111111111111111111
+273 0 -1 0b11111111111111111111111111111111
+274 0 -1 0b11111111111111111111111111111111
+275 0 -1 0b11111111111111111111111111111111
+276 0 -1 0b11111111111111111111111111111111
+277 0 -1 0b11111111111111111111111111111111
+278 0 -1 0b11111111111111111111111111111111
+279 0 -1 0b11111111111111111111111111111111
+280 0 -1 0b11111111111111111111111111111111
+281 0 -1 0b11111111111111111111111111111111
+282 0 -1 0b11111111111111111111111111111111
+283 0 -1 0b11111111111111111111111111111111
+284 0 -1 0b11111111111111111111111111111111
+285 0 -1 0b11111111111111111111111111111111
+286 0 -1 0b11111111111111111111111111111111
+287 0 -1 0b11111111111111111111111111111111
+288 0 -1 0b11111111111111111111111111111111
+289 0 -1 0b11111111111111111111111111111111
+290 0 -1 0b11111111111111111111111111111111
+291 0 -1 0b11111111111111111111111111111111
+292 0 -1 0b11111111111111111111111111111111
+293 0 -1 0b11111111111111111111111111111111
+294 0 -1 0b11111111111111111111111111111111
+295 0 -1 0b11111111111111111111111111111111
+296 0 -1 0b11111111111111111111111111111111
+297 0 -1 0b11111111111111111111111111111111
+298 0 -1 0b11111111111111111111111111111111
+299 0 -1 0b11111111111111111111111111111111
+0 2 3 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 4 7 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 8 15 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 16 31 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 32 63 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 64 127 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 128 255 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 256 511 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 512 1023 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 1024 2047 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 2048 4095 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 4096 8191 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 8192 16383 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 16384 32767 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 32768 65535 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 65536 131071 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 131072 262143 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 262144 524287 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 524288 1.04858e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 1.04858e+006 2.09715e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 2.09715e+006 4.1943e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 4.1943e+006 8.38861e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 8.38861e+006 1.67772e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 1.67772e+007 3.35544e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 3.35544e+007 6.71089e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 6.71089e+007 1.34218e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 1.34218e+008 2.68435e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 2.68435e+008 5.36871e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 5.36871e+008 1.07374e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 1.07374e+009 2.14748e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 2.14748e+009 4.29497e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 4.29497e+009 8.58993e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 8.58993e+009 1.71799e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 1.71799e+010 3.43597e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+34 3.43597e+010 6.87195e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+35 6.87195e+010 1.37439e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+36 1.37439e+011 2.74878e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+37 2.74878e+011 5.49756e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+38 5.49756e+011 1.09951e+012 0b000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+39 1.09951e+012 2.19902e+012 0b000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+40 2.19902e+012 4.39805e+012 0b000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+41 4.39805e+012 8.79609e+012 0b000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+42 8.79609e+012 1.75922e+013 0b000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+43 1.75922e+013 3.51844e+013 0b000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+44 3.51844e+013 7.03687e+013 0b000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+45 7.03687e+013 1.40737e+014 0b000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+46 1.40737e+014 2.81475e+014 0b000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+47 2.81475e+014 5.6295e+014 0b000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+48 5.6295e+014 1.1259e+015 0b000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+49 1.1259e+015 2.2518e+015 0b000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+50 2.2518e+015 4.5036e+015 0b000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+51 4.5036e+015 9.0072e+015 0b000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+52 9.0072e+015 1.80144e+016 0b000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+53 1.80144e+016 3.60288e+016 0b000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+54 3.60288e+016 7.20576e+016 0b000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+55 7.20576e+016 1.44115e+017 0b000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+56 1.44115e+017 2.8823e+017 0b000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+57 2.8823e+017 5.76461e+017 0b000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+58 5.76461e+017 1.15292e+018 0b000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+59 1.15292e+018 2.30584e+018 0b000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+60 2.30584e+018 4.61169e+018 0b000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+61 4.61169e+018 9.22337e+018 0b000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+62 9.22337e+018 1.84467e+019 0b000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+63 1.84467e+019 3.68935e+019 0b000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+64 3.68935e+019 7.3787e+019 0b000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+65 7.3787e+019 1.47574e+020 0b000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+66 1.47574e+020 2.95148e+020 0b000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+67 2.95148e+020 5.90296e+020 0b000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+68 5.90296e+020 1.18059e+021 0b000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+69 1.18059e+021 2.36118e+021 0b000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+70 2.36118e+021 4.72237e+021 0b000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+71 4.72237e+021 9.44473e+021 0b000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+72 9.44473e+021 1.88895e+022 0b000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+73 1.88895e+022 3.77789e+022 0b000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+74 3.77789e+022 7.55579e+022 0b000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+75 7.55579e+022 1.51116e+023 0b000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+76 1.51116e+023 3.02231e+023 0b000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+77 3.02231e+023 6.04463e+023 0b000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+78 6.04463e+023 1.20893e+024 0b000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+79 1.20893e+024 2.41785e+024 0b000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+80 2.41785e+024 4.8357e+024 0b000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+81 4.8357e+024 9.67141e+024 0b000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+82 9.67141e+024 1.93428e+025 0b000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+83 1.93428e+025 3.86856e+025 0b000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+84 3.86856e+025 7.73713e+025 0b000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+85 7.73713e+025 1.54743e+026 0b000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+86 1.54743e+026 3.09485e+026 0b000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+87 3.09485e+026 6.1897e+026 0b000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+88 6.1897e+026 1.23794e+027 0b000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+89 1.23794e+027 2.47588e+027 0b000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+90 2.47588e+027 4.95176e+027 0b000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+91 4.95176e+027 9.90352e+027 0b000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+92 9.90352e+027 1.9807e+028 0b000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+93 1.9807e+028 3.96141e+028 0b000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+94 3.96141e+028 7.92282e+028 0b000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+95 7.92282e+028 1.58456e+029 0b000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+96 1.58456e+029 3.16913e+029 0b000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+97 3.16913e+029 6.33825e+029 0b000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+98 6.33825e+029 1.26765e+030 0b000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+99 1.26765e+030 2.5353e+030 0b000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+100 2.5353e+030 5.0706e+030 0b000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+101 5.0706e+030 1.01412e+031 0b000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+102 1.01412e+031 2.02824e+031 0b000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+103 2.02824e+031 4.05648e+031 0b000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+104 4.05648e+031 8.11296e+031 0b000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+105 8.11296e+031 1.62259e+032 0b000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+106 1.62259e+032 3.24519e+032 0b000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+107 3.24519e+032 6.49037e+032 0b001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+108 6.49037e+032 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+109 -1.29807e+033 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+110 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+111 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+112 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+113 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+114 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+115 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+116 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+117 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+118 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+119 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+120 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+121 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+122 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+123 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+124 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+125 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+126 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+127 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+128 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+129 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+130 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+131 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+132 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+133 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+134 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+135 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+136 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+137 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+138 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+139 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+140 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+141 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+142 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+143 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+144 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+145 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+146 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+147 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+148 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+149 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+150 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+151 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+152 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+153 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+154 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+155 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+156 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+157 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+158 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+159 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+160 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+161 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+162 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+163 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+164 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+165 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+166 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+167 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+168 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+169 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+170 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+171 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+172 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+173 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+174 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+175 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+176 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+177 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+178 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+179 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+180 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+181 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+182 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+183 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+184 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+185 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+186 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+187 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+188 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+189 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+190 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+191 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+192 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+193 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+194 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+195 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+196 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+197 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+198 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+199 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+200 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+201 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+202 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+203 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+204 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+205 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+206 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+207 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+208 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+209 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+210 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+211 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+212 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+213 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+214 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+215 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+216 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+217 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+218 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+219 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+220 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+221 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+222 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+223 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+224 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+225 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+226 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+227 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+228 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+229 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+230 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+231 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+232 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+233 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+234 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+235 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+236 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+237 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+238 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+239 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+240 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+241 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+242 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+243 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+244 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+245 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+246 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+247 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+248 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+249 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+250 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+251 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+252 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+253 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+254 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+255 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+256 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+257 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+258 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+259 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+260 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+261 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+262 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+263 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+264 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+265 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+266 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+267 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+268 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+269 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+270 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+271 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+272 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+273 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+274 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+275 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+276 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+277 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+278 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+279 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+280 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+281 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+282 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+283 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+284 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+285 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+286 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+287 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+288 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+289 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+290 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+291 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+292 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+293 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+294 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+295 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+296 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+297 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+298 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+299 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+0 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+34 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+35 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+36 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+37 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+38 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+39 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+40 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+41 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+42 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+43 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+44 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+45 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+46 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+47 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+48 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+49 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+50 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+51 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+52 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+53 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+54 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+55 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+56 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+57 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+58 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+59 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+60 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+61 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+62 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+63 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+64 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+65 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+66 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+67 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+68 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+69 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+70 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+71 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+72 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+73 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+74 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+75 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+76 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+77 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+78 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+79 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+80 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+81 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+82 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+83 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+84 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+85 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+86 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+87 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+88 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+89 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+90 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+91 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+92 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+93 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+94 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+95 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+96 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+97 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+98 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+99 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+100 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+101 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+102 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+103 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+104 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+105 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+106 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+107 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+108 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+109 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+110 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+111 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+112 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+113 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+114 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+115 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+116 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+117 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+118 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+119 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+120 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+121 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+122 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+123 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+124 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+125 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+126 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+127 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+128 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+129 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+130 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+131 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+132 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+133 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+134 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+135 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+136 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+137 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+138 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+139 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+140 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+141 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+142 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+143 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+144 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+145 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+146 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+147 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+148 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+149 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+150 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+151 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+152 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+153 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+154 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+155 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+156 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+157 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+158 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+159 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+160 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+161 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+162 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+163 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+164 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+165 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+166 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+167 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+168 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+169 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+170 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+171 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+172 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+173 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+174 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+175 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+176 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+177 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+178 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+179 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+180 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+181 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+182 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+183 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+184 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+185 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+186 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+187 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+188 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+189 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+190 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+191 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+192 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+193 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+194 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+195 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+196 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+197 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+198 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+199 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+200 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+201 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+202 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+203 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+204 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+205 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+206 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+207 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+208 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+209 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+210 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+211 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+212 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+213 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+214 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+215 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+216 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+217 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+218 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+219 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+220 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+221 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+222 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+223 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+224 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+225 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+226 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+227 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+228 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+229 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+230 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+231 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+232 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+233 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+234 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+235 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+236 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+237 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+238 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+239 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+240 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+241 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+242 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+243 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+244 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+245 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+246 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+247 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+248 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+249 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+250 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+251 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+252 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+253 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+254 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+255 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+256 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+257 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+258 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+259 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+260 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+261 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+262 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+263 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+264 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+265 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+266 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+267 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+268 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+269 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+270 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+271 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+272 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+273 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+274 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+275 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+276 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+277 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+278 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+279 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+280 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+281 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+282 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+283 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+284 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+285 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+286 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+287 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+288 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+289 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+290 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+291 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+292 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+293 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+294 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+295 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+296 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+297 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+298 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+299 0 -1 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+0 2 3 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 4 7 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 8 15 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 16 31 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 32 63 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 64 127 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 128 255 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 256 511 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 512 1023 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 1024 2047 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 2048 4095 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 4096 8191 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 8192 16383 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 16384 32767 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 32768 65535 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 65536 131071 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 131072 262143 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 262144 524287 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 524288 1.04858e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 1.04858e+006 2.09715e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 2.09715e+006 4.1943e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 4.1943e+006 8.38861e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 8.38861e+006 1.67772e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 1.67772e+007 3.35544e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 3.35544e+007 6.71089e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 6.71089e+007 1.34218e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 1.34218e+008 2.68435e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 2.68435e+008 5.36871e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 5.36871e+008 1.07374e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 1.07374e+009 2.14748e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 2.14748e+009 4.29497e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 4.29497e+009 8.58993e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 8.58993e+009 1.71799e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 1.71799e+010 3.43597e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+34 3.43597e+010 6.87195e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+35 6.87195e+010 1.37439e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+36 1.37439e+011 2.74878e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+37 2.74878e+011 5.49756e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+38 5.49756e+011 1.09951e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+39 1.09951e+012 2.19902e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+40 2.19902e+012 4.39805e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+41 4.39805e+012 8.79609e+012 0b0000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+42 8.79609e+012 1.75922e+013 0b0000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+43 1.75922e+013 3.51844e+013 0b0000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+44 3.51844e+013 7.03687e+013 0b0000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+45 7.03687e+013 1.40737e+014 0b0000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+46 1.40737e+014 2.81475e+014 0b0000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+47 2.81475e+014 5.6295e+014 0b0000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+48 5.6295e+014 1.1259e+015 0b0000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+49 1.1259e+015 2.2518e+015 0b0000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+50 2.2518e+015 4.5036e+015 0b0000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+51 4.5036e+015 9.0072e+015 0b0000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+52 9.0072e+015 1.80144e+016 0b0000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+53 1.80144e+016 3.60288e+016 0b0000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+54 3.60288e+016 7.20576e+016 0b0000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+55 7.20576e+016 1.44115e+017 0b0000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+56 1.44115e+017 2.8823e+017 0b0000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+57 2.8823e+017 5.76461e+017 0b0000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+58 5.76461e+017 1.15292e+018 0b0000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+59 1.15292e+018 2.30584e+018 0b0000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+60 2.30584e+018 4.61169e+018 0b0000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+61 4.61169e+018 9.22337e+018 0b0000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+62 9.22337e+018 1.84467e+019 0b0000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+63 1.84467e+019 3.68935e+019 0b0000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+64 3.68935e+019 7.3787e+019 0b0000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+65 7.3787e+019 1.47574e+020 0b0000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+66 1.47574e+020 2.95148e+020 0b0000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+67 2.95148e+020 5.90296e+020 0b0000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+68 5.90296e+020 1.18059e+021 0b0000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+69 1.18059e+021 2.36118e+021 0b0000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+70 2.36118e+021 4.72237e+021 0b0000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+71 4.72237e+021 9.44473e+021 0b0000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+72 9.44473e+021 1.88895e+022 0b0000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+73 1.88895e+022 3.77789e+022 0b0000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+74 3.77789e+022 7.55579e+022 0b0000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+75 7.55579e+022 1.51116e+023 0b0000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+76 1.51116e+023 3.02231e+023 0b0000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+77 3.02231e+023 6.04463e+023 0b0000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+78 6.04463e+023 1.20893e+024 0b0000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+79 1.20893e+024 2.41785e+024 0b0000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+80 2.41785e+024 4.8357e+024 0b0000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+81 4.8357e+024 9.67141e+024 0b0000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+82 9.67141e+024 1.93428e+025 0b0000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+83 1.93428e+025 3.86856e+025 0b0000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+84 3.86856e+025 7.73713e+025 0b0000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+85 7.73713e+025 1.54743e+026 0b0000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+86 1.54743e+026 3.09485e+026 0b0000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+87 3.09485e+026 6.1897e+026 0b0000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+88 6.1897e+026 1.23794e+027 0b0000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+89 1.23794e+027 2.47588e+027 0b0000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+90 2.47588e+027 4.95176e+027 0b0000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+91 4.95176e+027 9.90352e+027 0b0000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+92 9.90352e+027 1.9807e+028 0b0000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+93 1.9807e+028 3.96141e+028 0b0000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+94 3.96141e+028 7.92282e+028 0b0000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+95 7.92282e+028 1.58456e+029 0b0000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+96 1.58456e+029 3.16913e+029 0b0000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+97 3.16913e+029 6.33825e+029 0b0000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+98 6.33825e+029 1.26765e+030 0b0000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+99 1.26765e+030 2.5353e+030 0b0000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+100 2.5353e+030 5.0706e+030 0b0000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+101 5.0706e+030 1.01412e+031 0b0000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+102 1.01412e+031 2.02824e+031 0b0000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+103 2.02824e+031 4.05648e+031 0b0000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+104 4.05648e+031 8.11296e+031 0b0000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+105 8.11296e+031 1.62259e+032 0b0000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+106 1.62259e+032 3.24519e+032 0b0000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+107 3.24519e+032 6.49037e+032 0b0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+108 6.49037e+032 1.29807e+033 0b0011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+109 1.29807e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+110 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+111 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+112 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+113 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+114 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+115 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+116 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+117 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+118 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+119 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+120 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+121 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+122 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+123 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+124 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+125 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+126 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+127 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+128 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+129 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+130 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+131 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+132 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+133 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+134 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+135 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+136 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+137 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+138 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+139 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+140 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+141 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+142 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+143 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+144 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+145 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+146 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+147 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+148 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+149 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+150 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+151 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+152 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+153 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+154 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+155 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+156 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+157 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+158 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+159 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+160 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+161 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+162 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+163 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+164 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+165 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+166 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+167 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+168 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+169 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+170 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+171 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+172 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+173 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+174 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+175 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+176 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+177 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+178 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+179 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+180 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+181 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+182 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+183 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+184 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+185 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+186 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+187 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+188 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+189 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+190 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+191 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+192 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+193 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+194 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+195 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+196 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+197 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+198 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+199 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+200 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+201 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+202 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+203 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+204 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+205 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+206 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+207 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+208 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+209 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+210 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+211 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+212 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+213 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+214 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+215 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+216 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+217 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+218 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+219 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+220 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+221 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+222 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+223 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+224 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+225 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+226 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+227 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+228 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+229 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+230 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+231 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+232 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+233 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+234 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+235 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+236 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+237 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+238 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+239 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+240 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+241 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+242 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+243 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+244 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+245 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+246 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+247 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+248 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+249 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+250 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+251 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+252 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+253 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+254 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+255 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+256 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+257 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+258 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+259 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+260 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+261 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+262 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+263 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+264 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+265 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+266 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+267 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+268 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+269 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+270 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+271 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+272 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+273 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+274 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+275 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+276 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+277 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+278 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+279 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+280 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+281 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+282 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+283 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+284 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+285 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+286 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+287 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+288 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+289 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+290 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+291 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+292 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+293 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+294 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+295 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+296 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+297 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+298 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+299 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+0 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+31 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+32 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+33 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+34 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+35 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+36 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+37 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+38 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+39 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+40 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+41 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+42 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+43 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+44 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+45 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+46 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+47 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+48 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+49 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+50 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+51 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+52 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+53 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+54 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+55 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+56 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+57 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+58 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+59 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+60 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+61 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+62 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+63 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+64 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+65 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+66 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+67 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+68 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+69 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+70 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+71 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+72 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+73 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+74 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+75 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+76 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+77 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+78 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+79 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+80 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+81 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+82 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+83 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+84 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+85 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+86 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+87 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+88 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+89 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+90 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+91 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+92 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+93 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+94 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+95 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+96 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+97 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+98 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+99 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+100 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+101 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+102 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+103 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+104 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+105 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+106 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+107 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+108 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+109 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+110 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+111 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+112 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+113 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+114 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+115 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+116 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+117 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+118 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+119 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+120 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+121 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+122 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+123 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+124 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+125 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+126 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+127 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+128 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+129 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+130 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+131 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+132 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+133 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+134 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+135 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+136 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+137 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+138 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+139 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+140 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+141 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+142 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+143 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+144 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+145 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+146 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+147 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+148 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+149 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+150 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+151 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+152 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+153 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+154 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+155 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+156 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+157 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+158 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+159 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+160 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+161 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+162 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+163 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+164 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+165 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+166 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+167 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+168 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+169 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+170 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+171 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+172 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+173 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+174 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+175 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+176 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+177 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+178 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+179 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+180 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+181 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+182 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+183 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+184 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+185 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+186 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+187 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+188 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+189 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+190 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+191 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+192 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+193 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+194 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+195 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+196 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+197 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+198 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+199 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+200 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+201 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+202 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+203 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+204 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+205 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+206 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+207 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+208 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+209 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+210 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+211 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+212 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+213 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+214 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+215 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+216 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+217 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+218 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+219 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+220 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+221 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+222 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+223 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+224 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+225 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+226 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+227 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+228 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+229 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+230 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+231 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+232 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+233 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+234 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+235 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+236 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+237 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+238 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+239 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+240 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+241 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+242 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+243 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+244 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+245 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+246 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+247 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+248 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+249 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+250 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+251 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+252 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+253 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+254 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+255 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+256 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+257 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+258 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+259 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+260 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+261 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+262 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+263 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+264 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+265 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+266 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+267 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+268 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+269 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+270 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+271 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+272 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+273 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+274 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+275 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+276 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+277 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+278 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+279 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+280 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+281 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+282 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+283 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+284 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+285 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+286 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+287 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+288 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+289 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+290 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+291 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+292 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+293 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+294 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+295 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+296 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+297 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+298 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+299 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111.000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+0 8 9.0625 0b01001.0001
+1 16 25.0938 0b011001.00011
+2 32 57.1094 0b0111001.000111
+3 64 121.117 0b01111001.0001111
+4 128 249.121 0b011111001.00011111
+5 256 505.123 0b0111111001.000111111
+6 512 1017.12 0b01111111001.0001111111
+7 1024 2041.12 0b011111111001.00011111111
+8 2048 4089.12 0b0111111111001.000111111111
+9 4096 8185.12 0b01111111111001.0001111111111
+10 8192 16377.1 0b011111111111001.00011111111111
+11 16384 32761.1 0b0111111111111001.000111111111111
+12 32768 65529.1 0b01111111111111001.0001111111111111
+13 65536 131065 0b011111111111111001.00011111111111111
+14 131072 262137 0b0111111111111111001.000111111111111111
+15 262144 524281 0b01111111111111111001.0001111111111111111
+16 524288 1.04857e+006 0b011111111111111111001.00011111111111111111
+17 1.04858e+006 2.09715e+006 0b0111111111111111111001.000111111111111111111
+18 2.09715e+006 4.1943e+006 0b01111111111111111111001.0001111111111111111111
+19 4.1943e+006 8.3886e+006 0b011111111111111111111001.00011111111111111111111
+20 8.38861e+006 1.67772e+007 0b0111111111111111111111001.000111111111111111111111
+21 1.67772e+007 3.35544e+007 0b01111111111111111111111001.0001111111111111111111111
+22 3.35544e+007 6.71089e+007 0b011111111111111111111111001.00011111111111111111111111
+23 6.71089e+007 1.34218e+008 0b0111111111111111111111111001.000111111111111111111111111
+24 1.34218e+008 2.68435e+008 0b01111111111111111111111111001.0001111111111111111111111111
+25 2.68435e+008 5.36871e+008 0b011111111111111111111111111001.00011111111111111111111111111
+26 5.36871e+008 1.07374e+009 0b0111111111111111111111111111001.000111111111111111111111111111
+27 1.07374e+009 2.14748e+009 0b01111111111111111111111111111001.0001111111111111111111111111111
+28 2.14748e+009 4.29497e+009 0b011111111111111111111111111111001.00011111111111111111111111111111
+29 4.29497e+009 8.58993e+009 0b0111111111111111111111111111111001.000111111111111111111111111111111
+30 8.58993e+009 1.71799e+010 0b01111111111111111111111111111111001.0001111111111111111111111111111111
+31 1.71799e+010 3.43597e+010 0b011111111111111111111111111111111001.00011111111111111111111111111111111
+32 3.43597e+010 6.87195e+010 0b0111111111111111111111111111111111001.000111111111111111111111111111111111
+33 6.87195e+010 1.37439e+011 0b01111111111111111111111111111111111001.0001111111111111111111111111111111111
+34 1.37439e+011 2.74878e+011 0b011111111111111111111111111111111111001.00011111111111111111111111111111111111
+35 2.74878e+011 5.49756e+011 0b0111111111111111111111111111111111111001.000111111111111111111111111111111111111
+36 5.49756e+011 1.09951e+012 0b01111111111111111111111111111111111111001.0001111111111111111111111111111111111111
+37 1.09951e+012 2.19902e+012 0b011111111111111111111111111111111111111001.00011111111111111111111111111111111111111
+38 2.19902e+012 4.39805e+012 0b0111111111111111111111111111111111111111001.000111111111111111111111111111111111111111
+39 4.39805e+012 8.79609e+012 0b01111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111
+40 8.79609e+012 1.75922e+013 0b011111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111
+41 1.75922e+013 3.51844e+013 0b0111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111
+42 3.51844e+013 7.03687e+013 0b01111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111
+43 7.03687e+013 1.40737e+014 0b011111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111
+44 1.40737e+014 2.81475e+014 0b0111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111
+45 2.81475e+014 5.6295e+014 0b01111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111
+46 5.6295e+014 1.1259e+015 0b011111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111
+47 1.1259e+015 2.2518e+015 0b0111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111
+48 2.2518e+015 4.5036e+015 0b01111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111
+49 4.5036e+015 9.0072e+015 0b011111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111
+50 9.0072e+015 1.80144e+016 0b0111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111
+51 1.80144e+016 3.60288e+016 0b01111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111
+52 3.60288e+016 7.20576e+016 0b011111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111
+53 7.20576e+016 1.44115e+017 0b0111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111
+54 1.44115e+017 2.8823e+017 0b01111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111
+55 2.8823e+017 5.76461e+017 0b011111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111
+56 5.76461e+017 1.15292e+018 0b0111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111
+57 1.15292e+018 2.30584e+018 0b01111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111
+58 2.30584e+018 4.61169e+018 0b011111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111
+59 4.61169e+018 9.22337e+018 0b0111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111
+60 9.22337e+018 1.84467e+019 0b01111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111
+61 1.84467e+019 3.68935e+019 0b011111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111
+62 3.68935e+019 7.3787e+019 0b0111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111
+63 7.3787e+019 1.47574e+020 0b01111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111
+64 1.47574e+020 2.95148e+020 0b011111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111
+65 2.95148e+020 5.90296e+020 0b0111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111
+66 5.90296e+020 1.18059e+021 0b01111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111
+67 1.18059e+021 2.36118e+021 0b011111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111
+68 2.36118e+021 4.72237e+021 0b0111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111
+69 4.72237e+021 9.44473e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111
+70 9.44473e+021 1.88895e+022 0b011111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111
+71 1.88895e+022 3.77789e+022 0b0111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111
+72 3.77789e+022 7.55579e+022 0b01111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111
+73 7.55579e+022 1.51116e+023 0b011111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111
+74 1.51116e+023 3.02231e+023 0b0111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111
+75 3.02231e+023 6.04463e+023 0b01111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111
+76 6.04463e+023 1.20893e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111
+77 1.20893e+024 2.41785e+024 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 2.41785e+024 4.8357e+024 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 4.8357e+024 9.67141e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 9.67141e+024 1.93428e+025 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 1.93428e+025 3.86856e+025 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 3.86856e+025 7.73713e+025 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 7.73713e+025 1.54743e+026 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 1.54743e+026 3.09485e+026 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 3.09485e+026 6.1897e+026 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 6.1897e+026 1.23794e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 1.23794e+027 2.47588e+027 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 2.47588e+027 4.95176e+027 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 4.95176e+027 9.90352e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 9.90352e+027 1.9807e+028 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 1.9807e+028 3.96141e+028 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 3.96141e+028 7.92282e+028 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 7.92282e+028 1.58456e+029 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 1.58456e+029 3.16913e+029 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 3.16913e+029 6.33825e+029 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 6.33825e+029 1.26765e+030 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 1.26765e+030 2.5353e+030 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 2.5353e+030 5.0706e+030 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 5.0706e+030 1.01412e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 1.01412e+031 2.02824e+031 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 2.02824e+031 4.05648e+031 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 4.05648e+031 8.11296e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 8.11296e+031 1.62259e+032 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 1.62259e+032 3.24519e+032 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 3.24519e+032 6.49037e+032 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 6.49037e+032 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 1.29807e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 2.59615e+033 5.1923e+033 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 5.1923e+033 1.03846e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 1.03846e+034 2.07692e+034 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 2.07692e+034 4.15384e+034 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 4.15384e+034 8.30767e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 8.30767e+034 1.66153e+035 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 1.66153e+035 3.32307e+035 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 3.32307e+035 6.64614e+035 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 6.64614e+035 1.32923e+036 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 1.32923e+036 2.65846e+036 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 2.65846e+036 5.31691e+036 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 5.31691e+036 1.06338e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 1.06338e+037 2.12676e+037 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 2.12676e+037 4.25353e+037 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 4.25353e+037 8.50706e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 8.50706e+037 1.70141e+038 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 1.70141e+038 3.40282e+038 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 3.40282e+038 6.80565e+038 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 6.80565e+038 1.36113e+039 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 1.36113e+039 2.72226e+039 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 2.72226e+039 5.44452e+039 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 5.44452e+039 1.0889e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 1.0889e+040 2.17781e+040 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 2.17781e+040 4.35561e+040 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 4.35561e+040 8.71123e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 8.71123e+040 1.74225e+041 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 1.74225e+041 3.48449e+041 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 3.48449e+041 6.96898e+041 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 6.96898e+041 1.3938e+042 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 1.3938e+042 2.78759e+042 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 2.78759e+042 5.57519e+042 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 5.57519e+042 1.11504e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 1.11504e+043 2.23007e+043 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 2.23007e+043 4.46015e+043 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 4.46015e+043 8.9203e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 8.9203e+043 1.78406e+044 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 1.78406e+044 3.56812e+044 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 3.56812e+044 7.13624e+044 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 7.13624e+044 1.42725e+045 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 1.42725e+045 2.8545e+045 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 2.8545e+045 5.70899e+045 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 5.70899e+045 1.1418e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 1.1418e+046 2.2836e+046 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 2.2836e+046 4.56719e+046 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 4.56719e+046 9.13439e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 9.13439e+046 1.82688e+047 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 1.82688e+047 3.65375e+047 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 3.65375e+047 7.30751e+047 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 7.30751e+047 1.4615e+048 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 1.4615e+048 2.923e+048 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 2.923e+048 5.84601e+048 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 5.84601e+048 1.1692e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 1.1692e+049 2.3384e+049 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 2.3384e+049 4.67681e+049 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 4.67681e+049 9.35361e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 9.35361e+049 1.87072e+050 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 1.87072e+050 3.74144e+050 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 3.74144e+050 7.48289e+050 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 7.48289e+050 1.49658e+051 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 1.49658e+051 2.99316e+051 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 2.99316e+051 5.98631e+051 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 5.98631e+051 1.19726e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 1.19726e+052 2.39452e+052 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 2.39452e+052 4.78905e+052 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 4.78905e+052 9.5781e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 9.5781e+052 1.91562e+053 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 1.91562e+053 3.83124e+053 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 3.83124e+053 7.66248e+053 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 7.66248e+053 1.5325e+054 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 1.5325e+054 3.06499e+054 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 3.06499e+054 6.12998e+054 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 6.12998e+054 1.226e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 1.226e+055 2.45199e+055 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 2.45199e+055 4.90399e+055 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 4.90399e+055 9.80797e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 9.80797e+055 1.96159e+056 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 1.96159e+056 3.92319e+056 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 3.92319e+056 7.84638e+056 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 7.84638e+056 1.56928e+057 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 1.56928e+057 3.13855e+057 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 3.13855e+057 6.2771e+057 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 6.2771e+057 1.25542e+058 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 1.25542e+058 2.51084e+058 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 2.51084e+058 5.02168e+058 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 5.02168e+058 1.00434e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 1.00434e+059 2.00867e+059 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 2.00867e+059 4.01735e+059 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 4.01735e+059 8.03469e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 8.03469e+059 1.60694e+060 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 1.60694e+060 3.21388e+060 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 3.21388e+060 6.42775e+060 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 6.42775e+060 1.28555e+061 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 1.28555e+061 2.5711e+061 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 2.5711e+061 5.1422e+061 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 5.1422e+061 1.02844e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 1.02844e+062 2.05688e+062 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 2.05688e+062 4.11376e+062 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 4.11376e+062 8.22752e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 8.22752e+062 1.6455e+063 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 1.6455e+063 3.29101e+063 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 3.29101e+063 6.58202e+063 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 6.58202e+063 1.3164e+064 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 1.3164e+064 2.63281e+064 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 2.63281e+064 5.26561e+064 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 5.26561e+064 1.05312e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 1.05312e+065 2.10625e+065 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 2.10625e+065 4.21249e+065 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 4.21249e+065 8.42498e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 8.42498e+065 1.685e+066 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 1.685e+066 3.36999e+066 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 3.36999e+066 6.73999e+066 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 6.73999e+066 1.348e+067 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 1.348e+067 2.69599e+067 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 2.69599e+067 5.39199e+067 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 5.39199e+067 1.0784e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 1.0784e+068 2.1568e+068 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 2.1568e+068 4.31359e+068 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 4.31359e+068 8.62718e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 8.62718e+068 1.72544e+069 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 1.72544e+069 3.45087e+069 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 3.45087e+069 6.90175e+069 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 6.90175e+069 1.38035e+070 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 1.38035e+070 2.7607e+070 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 2.7607e+070 5.5214e+070 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 5.5214e+070 1.10428e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 1.10428e+071 2.20856e+071 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 2.20856e+071 4.41712e+071 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 4.41712e+071 8.83424e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 8.83424e+071 1.76685e+072 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 1.76685e+072 3.53369e+072 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 3.53369e+072 7.06739e+072 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 7.06739e+072 1.41348e+073 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 1.41348e+073 2.82696e+073 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 2.82696e+073 5.65391e+073 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 5.65391e+073 1.13078e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 1.13078e+074 2.26156e+074 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 2.26156e+074 4.52313e+074 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 4.52313e+074 9.04626e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 9.04626e+074 1.80925e+075 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 1.80925e+075 3.6185e+075 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 3.6185e+075 7.23701e+075 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 7.23701e+075 1.4474e+076 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 1.4474e+076 2.8948e+076 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 2.8948e+076 5.7896e+076 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 5.7896e+076 1.15792e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 1.15792e+077 2.31584e+077 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 2.31584e+077 4.63168e+077 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 4.63168e+077 9.26337e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 9.26337e+077 1.85267e+078 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 1.85267e+078 3.70535e+078 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 3.70535e+078 7.41069e+078 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 7.41069e+078 1.48214e+079 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 1.48214e+079 2.96428e+079 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 2.96428e+079 5.92855e+079 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 5.92855e+079 1.18571e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 1.18571e+080 2.37142e+080 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 2.37142e+080 4.74284e+080 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 4.74284e+080 9.48569e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 9.48569e+080 1.89714e+081 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 1.89714e+081 3.79428e+081 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 3.79428e+081 7.58855e+081 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 7.58855e+081 1.51771e+082 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 1.51771e+082 3.03542e+082 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 3.03542e+082 6.07084e+082 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 6.07084e+082 1.21417e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 1.21417e+083 2.42834e+083 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 2.42834e+083 4.85667e+083 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 4.85667e+083 9.71334e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 9.71334e+083 1.94267e+084 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 1.94267e+084 3.88534e+084 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 3.88534e+084 7.77068e+084 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 7.77068e+084 1.55414e+085 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 1.55414e+085 3.10827e+085 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 3.10827e+085 6.21654e+085 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 6.21654e+085 1.24331e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 1.24331e+086 2.48662e+086 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 2.48662e+086 4.97323e+086 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 4.97323e+086 9.94646e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 9.94646e+086 1.98929e+087 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 1.98929e+087 3.97859e+087 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 3.97859e+087 7.95717e+087 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 7.95717e+087 1.59143e+088 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 1.59143e+088 3.18287e+088 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 3.18287e+088 6.36574e+088 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 6.36574e+088 1.27315e+089 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 1.27315e+089 2.54629e+089 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 2.54629e+089 5.09259e+089 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 5.09259e+089 1.01852e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 1.01852e+090 2.03704e+090 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 2.03704e+090 4.07407e+090 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 4.07407e+090 8.14814e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 8.14814e+090 1.62963e+091 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 8.14814e+090 8.14814e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+1 4.07407e+090 4.07407e+090 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+2 2.03704e+090 2.03704e+090 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+3 1.01852e+090 1.01852e+090 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+4 5.09259e+089 5.09259e+089 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+5 2.54629e+089 2.54629e+089 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+6 1.27315e+089 1.27315e+089 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+7 6.36574e+088 6.36574e+088 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+8 3.18287e+088 3.18287e+088 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+9 1.59143e+088 1.59143e+088 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+10 7.95717e+087 7.95717e+087 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+11 3.97859e+087 3.97859e+087 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+12 1.98929e+087 1.98929e+087 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+13 9.94646e+086 9.94646e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+14 4.97323e+086 4.97323e+086 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+15 2.48662e+086 2.48662e+086 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+16 1.24331e+086 1.24331e+086 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+17 6.21654e+085 6.21654e+085 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+18 3.10827e+085 3.10827e+085 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+19 1.55414e+085 1.55414e+085 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+20 7.77068e+084 7.77068e+084 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+21 3.88534e+084 3.88534e+084 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+22 1.94267e+084 1.94267e+084 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+23 9.71334e+083 9.71334e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+24 4.85667e+083 4.85667e+083 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+25 2.42834e+083 2.42834e+083 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+26 1.21417e+083 1.21417e+083 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+27 6.07084e+082 6.07084e+082 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+28 3.03542e+082 3.03542e+082 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+29 1.51771e+082 1.51771e+082 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+30 7.58855e+081 7.58855e+081 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+31 3.79428e+081 3.79428e+081 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+32 1.89714e+081 1.89714e+081 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+33 9.48569e+080 9.48569e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+34 4.74284e+080 4.74284e+080 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+35 2.37142e+080 2.37142e+080 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+36 1.18571e+080 1.18571e+080 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+37 5.92855e+079 5.92855e+079 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+38 2.96428e+079 2.96428e+079 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+39 1.48214e+079 1.48214e+079 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+40 7.41069e+078 7.41069e+078 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+41 3.70535e+078 3.70535e+078 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+42 1.85267e+078 1.85267e+078 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+43 9.26337e+077 9.26337e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+44 4.63168e+077 4.63168e+077 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+45 2.31584e+077 2.31584e+077 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+46 1.15792e+077 1.15792e+077 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+47 5.7896e+076 5.7896e+076 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+48 2.8948e+076 2.8948e+076 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+49 1.4474e+076 1.4474e+076 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+50 7.23701e+075 7.23701e+075 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+51 3.6185e+075 3.6185e+075 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+52 1.80925e+075 1.80925e+075 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+53 9.04626e+074 9.04626e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+54 4.52313e+074 4.52313e+074 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+55 2.26156e+074 2.26156e+074 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+56 1.13078e+074 1.13078e+074 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+57 5.65391e+073 5.65391e+073 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+58 2.82696e+073 2.82696e+073 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+59 1.41348e+073 1.41348e+073 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+60 7.06739e+072 7.06739e+072 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+61 3.53369e+072 3.53369e+072 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+62 1.76685e+072 1.76685e+072 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+63 8.83424e+071 8.83424e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+64 4.41712e+071 4.41712e+071 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+65 2.20856e+071 2.20856e+071 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+66 1.10428e+071 1.10428e+071 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+67 5.5214e+070 5.5214e+070 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+68 2.7607e+070 2.7607e+070 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+69 1.38035e+070 1.38035e+070 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+70 6.90175e+069 6.90175e+069 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+71 3.45087e+069 3.45087e+069 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+72 1.72544e+069 1.72544e+069 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+73 8.62718e+068 8.62718e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+74 4.31359e+068 4.31359e+068 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+75 2.1568e+068 2.1568e+068 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+76 1.0784e+068 1.0784e+068 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+77 5.39199e+067 5.39199e+067 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 2.69599e+067 2.69599e+067 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 1.348e+067 1.348e+067 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 6.73999e+066 6.73999e+066 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 3.36999e+066 3.36999e+066 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 1.685e+066 1.685e+066 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 8.42498e+065 8.42498e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 4.21249e+065 4.21249e+065 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 2.10625e+065 2.10625e+065 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 1.05312e+065 1.05312e+065 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 5.26561e+064 5.26561e+064 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 2.63281e+064 2.63281e+064 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 1.3164e+064 1.3164e+064 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 6.58202e+063 6.58202e+063 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 3.29101e+063 3.29101e+063 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 1.6455e+063 1.6455e+063 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 8.22752e+062 8.22752e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 4.11376e+062 4.11376e+062 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 2.05688e+062 2.05688e+062 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 1.02844e+062 1.02844e+062 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 5.1422e+061 5.1422e+061 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 2.5711e+061 2.5711e+061 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 1.28555e+061 1.28555e+061 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 6.42775e+060 6.42775e+060 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 3.21388e+060 3.21388e+060 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 1.60694e+060 1.60694e+060 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 8.03469e+059 8.03469e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 4.01735e+059 4.01735e+059 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 2.00867e+059 2.00867e+059 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 1.00434e+059 1.00434e+059 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 5.02168e+058 5.02168e+058 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 2.51084e+058 2.51084e+058 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 1.25542e+058 1.25542e+058 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 6.2771e+057 6.2771e+057 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 3.13855e+057 3.13855e+057 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 1.56928e+057 1.56928e+057 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 7.84638e+056 7.84638e+056 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 3.92319e+056 3.92319e+056 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 1.96159e+056 1.96159e+056 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 9.80797e+055 9.80797e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 4.90399e+055 4.90399e+055 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 2.45199e+055 2.45199e+055 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 1.226e+055 1.226e+055 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 6.12998e+054 6.12998e+054 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 3.06499e+054 3.06499e+054 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 1.5325e+054 1.5325e+054 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 7.66248e+053 7.66248e+053 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 3.83124e+053 3.83124e+053 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 1.91562e+053 1.91562e+053 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 9.5781e+052 9.5781e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 4.78905e+052 4.78905e+052 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 2.39452e+052 2.39452e+052 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 1.19726e+052 1.19726e+052 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 5.98631e+051 5.98631e+051 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 2.99316e+051 2.99316e+051 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 1.49658e+051 1.49658e+051 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 7.48289e+050 7.48289e+050 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 3.74144e+050 3.74144e+050 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 1.87072e+050 1.87072e+050 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 9.35361e+049 9.35361e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 4.67681e+049 4.67681e+049 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 2.3384e+049 2.3384e+049 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 1.1692e+049 1.1692e+049 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 5.84601e+048 5.84601e+048 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 2.923e+048 2.923e+048 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 1.4615e+048 1.4615e+048 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 7.30751e+047 7.30751e+047 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 3.65375e+047 3.65375e+047 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 1.82688e+047 1.82688e+047 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 9.13439e+046 9.13439e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 4.56719e+046 4.56719e+046 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 2.2836e+046 2.2836e+046 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 1.1418e+046 1.1418e+046 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 5.70899e+045 5.70899e+045 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 2.8545e+045 2.8545e+045 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 1.42725e+045 1.42725e+045 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 7.13624e+044 7.13624e+044 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 3.56812e+044 3.56812e+044 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 1.78406e+044 1.78406e+044 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 8.9203e+043 8.9203e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 4.46015e+043 4.46015e+043 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 2.23007e+043 2.23007e+043 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 1.11504e+043 1.11504e+043 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 5.57519e+042 5.57519e+042 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 2.78759e+042 2.78759e+042 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 1.3938e+042 1.3938e+042 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 6.96898e+041 6.96898e+041 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 3.48449e+041 3.48449e+041 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 1.74225e+041 1.74225e+041 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 8.71123e+040 8.71123e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 4.35561e+040 4.35561e+040 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 2.17781e+040 2.17781e+040 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 1.0889e+040 1.0889e+040 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 5.44452e+039 5.44452e+039 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 2.72226e+039 2.72226e+039 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 1.36113e+039 1.36113e+039 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 6.80565e+038 6.80565e+038 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 3.40282e+038 3.40282e+038 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 1.70141e+038 1.70141e+038 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 8.50706e+037 8.50706e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 4.25353e+037 4.25353e+037 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 2.12676e+037 2.12676e+037 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 1.06338e+037 1.06338e+037 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 5.31691e+036 5.31691e+036 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 2.65846e+036 2.65846e+036 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 1.32923e+036 1.32923e+036 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 6.64614e+035 6.64614e+035 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 3.32307e+035 3.32307e+035 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 1.66153e+035 1.66153e+035 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 8.30767e+034 8.30767e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 4.15384e+034 4.15384e+034 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 2.07692e+034 2.07692e+034 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 1.03846e+034 1.03846e+034 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 5.1923e+033 5.1923e+033 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 2.59615e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 1.29807e+033 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 6.49037e+032 6.49037e+032 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 3.24519e+032 3.24519e+032 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 1.62259e+032 1.62259e+032 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 8.11296e+031 8.11296e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 4.05648e+031 4.05648e+031 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 2.02824e+031 2.02824e+031 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 1.01412e+031 1.01412e+031 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 5.0706e+030 5.0706e+030 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 2.5353e+030 2.5353e+030 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 1.26765e+030 1.26765e+030 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 6.33825e+029 6.33825e+029 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 3.16913e+029 3.16913e+029 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 1.58456e+029 1.58456e+029 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 7.92282e+028 7.92282e+028 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 3.96141e+028 3.96141e+028 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 1.9807e+028 1.9807e+028 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 9.90352e+027 9.90352e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 4.95176e+027 4.95176e+027 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 2.47588e+027 2.47588e+027 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 1.23794e+027 1.23794e+027 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 6.1897e+026 6.1897e+026 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 3.09485e+026 3.09485e+026 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 1.54743e+026 1.54743e+026 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 7.73713e+025 7.73713e+025 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 3.86856e+025 3.86856e+025 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 1.93428e+025 1.93428e+025 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 9.67141e+024 9.67141e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 4.8357e+024 4.8357e+024 0b01111111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 2.41785e+024 2.41785e+024 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 1.20893e+024 1.20893e+024 0b011111111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111111
+223 6.04463e+023 6.04463e+023 0b01111111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111111
+224 3.02231e+023 3.02231e+023 0b0111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111
+225 1.51116e+023 1.51116e+023 0b011111111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111111
+226 7.55579e+022 7.55579e+022 0b01111111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111111
+227 3.77789e+022 3.77789e+022 0b0111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111
+228 1.88895e+022 1.88895e+022 0b011111111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111111
+229 9.44473e+021 9.44473e+021 0b01111111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111111
+230 4.72237e+021 4.72237e+021 0b0111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111
+231 2.36118e+021 2.36118e+021 0b011111111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111111
+232 1.18059e+021 1.18059e+021 0b01111111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111111
+233 5.90296e+020 5.90296e+020 0b0111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111
+234 2.95148e+020 2.95148e+020 0b011111111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111111
+235 1.47574e+020 1.47574e+020 0b01111111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111111
+236 7.3787e+019 7.3787e+019 0b0111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111
+237 3.68935e+019 3.68935e+019 0b011111111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111111
+238 1.84467e+019 1.84467e+019 0b01111111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111111
+239 9.22337e+018 9.22337e+018 0b0111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111
+240 4.61169e+018 4.61169e+018 0b011111111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111111
+241 2.30584e+018 2.30584e+018 0b01111111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111111
+242 1.15292e+018 1.15292e+018 0b0111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111
+243 5.76461e+017 5.76461e+017 0b011111111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111111
+244 2.8823e+017 2.8823e+017 0b01111111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111111
+245 1.44115e+017 1.44115e+017 0b0111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111
+246 7.20576e+016 7.20576e+016 0b011111111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111111
+247 3.60288e+016 3.60288e+016 0b01111111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111111
+248 1.80144e+016 1.80144e+016 0b0111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111
+249 9.0072e+015 9.0072e+015 0b011111111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111111
+250 4.5036e+015 4.5036e+015 0b01111111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111111
+251 2.2518e+015 2.2518e+015 0b0111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111
+252 1.1259e+015 1.1259e+015 0b011111111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111111
+253 5.6295e+014 5.6295e+014 0b01111111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111111
+254 2.81475e+014 2.81475e+014 0b0111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111
+255 1.40737e+014 1.40737e+014 0b011111111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111111
+256 7.03687e+013 7.03687e+013 0b01111111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111111
+257 3.51844e+013 3.51844e+013 0b0111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111
+258 1.75922e+013 1.75922e+013 0b011111111111111111111111111111111111111111001.00011111111111111111111111111111111111111111
+259 8.79609e+012 8.79609e+012 0b01111111111111111111111111111111111111111001.0001111111111111111111111111111111111111111
+260 4.39805e+012 4.39805e+012 0b0111111111111111111111111111111111111111001.000111111111111111111111111111111111111111
+261 2.19902e+012 2.19902e+012 0b011111111111111111111111111111111111111001.00011111111111111111111111111111111111111
+262 1.09951e+012 1.09951e+012 0b01111111111111111111111111111111111111001.0001111111111111111111111111111111111111
+263 5.49756e+011 5.49756e+011 0b0111111111111111111111111111111111111001.000111111111111111111111111111111111111
+264 2.74878e+011 2.74878e+011 0b011111111111111111111111111111111111001.00011111111111111111111111111111111111
+265 1.37439e+011 1.37439e+011 0b01111111111111111111111111111111111001.0001111111111111111111111111111111111
+266 6.87195e+010 6.87195e+010 0b0111111111111111111111111111111111001.000111111111111111111111111111111111
+267 3.43597e+010 3.43597e+010 0b011111111111111111111111111111111001.00011111111111111111111111111111111
+268 1.71799e+010 1.71799e+010 0b01111111111111111111111111111111001.0001111111111111111111111111111111
+269 8.58993e+009 8.58993e+009 0b0111111111111111111111111111111001.000111111111111111111111111111111
+270 4.29497e+009 4.29497e+009 0b011111111111111111111111111111001.00011111111111111111111111111111
+271 2.14748e+009 2.14748e+009 0b01111111111111111111111111111001.0001111111111111111111111111111
+272 1.07374e+009 1.07374e+009 0b0111111111111111111111111111001.000111111111111111111111111111
+273 5.36871e+008 5.36871e+008 0b011111111111111111111111111001.00011111111111111111111111111
+274 2.68435e+008 2.68435e+008 0b01111111111111111111111111001.0001111111111111111111111111
+275 1.34218e+008 1.34218e+008 0b0111111111111111111111111001.000111111111111111111111111
+276 6.71089e+007 6.71089e+007 0b011111111111111111111111001.00011111111111111111111111
+277 3.35544e+007 3.35544e+007 0b01111111111111111111111001.0001111111111111111111111
+278 1.67772e+007 1.67772e+007 0b0111111111111111111111001.000111111111111111111111
+279 8.38861e+006 8.3886e+006 0b011111111111111111111001.00011111111111111111111
+280 4.1943e+006 4.1943e+006 0b01111111111111111111001.0001111111111111111111
+281 2.09715e+006 2.09715e+006 0b0111111111111111111001.000111111111111111111
+282 1.04858e+006 1.04857e+006 0b011111111111111111001.00011111111111111111
+283 524288 524281 0b01111111111111111001.0001111111111111111
+284 262144 262137 0b0111111111111111001.000111111111111111
+285 131072 131065 0b011111111111111001.00011111111111111
+286 65536 65529.1 0b01111111111111001.0001111111111111
+287 32768 32761.1 0b0111111111111001.000111111111111
+288 16384 16377.1 0b011111111111001.00011111111111
+289 8192 8185.12 0b01111111111001.0001111111111
+290 4096 4089.12 0b0111111111001.000111111111
+291 2048 2041.12 0b011111111001.00011111111
+292 1024 1017.12 0b01111111001.0001111111
+293 512 505.123 0b0111111001.000111111
+294 256 249.121 0b011111001.00011111
+295 128 121.117 0b01111001.0001111
+296 64 57.1094 0b0111001.000111
+297 32 25.0938 0b011001.00011
+298 16 9.0625 0b01001.0001
+299 8 1 0b01
+0 8 9 0b000000000000000000000000000001001
+1 16 25 0b000000000000000000000000000011001
+2 32 57 0b000000000000000000000000000111001
+3 64 121 0b000000000000000000000000001111001
+4 128 249 0b000000000000000000000000011111001
+5 256 505 0b000000000000000000000000111111001
+6 512 1017 0b000000000000000000000001111111001
+7 1024 2041 0b000000000000000000000011111111001
+8 2048 4089 0b000000000000000000000111111111001
+9 4096 8185 0b000000000000000000001111111111001
+10 8192 16377 0b000000000000000000011111111111001
+11 16384 32761 0b000000000000000000111111111111001
+12 32768 65529 0b000000000000000001111111111111001
+13 65536 131065 0b000000000000000011111111111111001
+14 131072 262137 0b000000000000000111111111111111001
+15 262144 524281 0b000000000000001111111111111111001
+16 524288 1.04857e+006 0b000000000000011111111111111111001
+17 1.04858e+006 2.09715e+006 0b000000000000111111111111111111001
+18 2.09715e+006 4.1943e+006 0b000000000001111111111111111111001
+19 4.1943e+006 8.3886e+006 0b000000000011111111111111111111001
+20 8.38861e+006 1.67772e+007 0b000000000111111111111111111111001
+21 1.67772e+007 3.35544e+007 0b000000001111111111111111111111001
+22 3.35544e+007 6.71089e+007 0b000000011111111111111111111111001
+23 6.71089e+007 1.34218e+008 0b000000111111111111111111111111001
+24 1.34218e+008 2.68435e+008 0b000001111111111111111111111111001
+25 2.68435e+008 5.36871e+008 0b000011111111111111111111111111001
+26 5.36871e+008 1.07374e+009 0b000111111111111111111111111111001
+27 1.07374e+009 2.14748e+009 0b001111111111111111111111111111001
+28 2.14748e+009 4.29497e+009 0b011111111111111111111111111111001
+29 0 4.29497e+009 0b011111111111111111111111111111001
+30 0 4.29497e+009 0b011111111111111111111111111111001
+31 0 4.29497e+009 0b011111111111111111111111111111001
+32 0 4.29497e+009 0b011111111111111111111111111111001
+33 0 4.29497e+009 0b011111111111111111111111111111001
+34 0 4.29497e+009 0b011111111111111111111111111111001
+35 0 4.29497e+009 0b011111111111111111111111111111001
+36 0 4.29497e+009 0b011111111111111111111111111111001
+37 0 4.29497e+009 0b011111111111111111111111111111001
+38 0 4.29497e+009 0b011111111111111111111111111111001
+39 0 4.29497e+009 0b011111111111111111111111111111001
+40 0 4.29497e+009 0b011111111111111111111111111111001
+41 0 4.29497e+009 0b011111111111111111111111111111001
+42 0 4.29497e+009 0b011111111111111111111111111111001
+43 0 4.29497e+009 0b011111111111111111111111111111001
+44 0 4.29497e+009 0b011111111111111111111111111111001
+45 0 4.29497e+009 0b011111111111111111111111111111001
+46 0 4.29497e+009 0b011111111111111111111111111111001
+47 0 4.29497e+009 0b011111111111111111111111111111001
+48 0 4.29497e+009 0b011111111111111111111111111111001
+49 0 4.29497e+009 0b011111111111111111111111111111001
+50 0 4.29497e+009 0b011111111111111111111111111111001
+51 0 4.29497e+009 0b011111111111111111111111111111001
+52 0 4.29497e+009 0b011111111111111111111111111111001
+53 0 4.29497e+009 0b011111111111111111111111111111001
+54 0 4.29497e+009 0b011111111111111111111111111111001
+55 0 4.29497e+009 0b011111111111111111111111111111001
+56 0 4.29497e+009 0b011111111111111111111111111111001
+57 0 4.29497e+009 0b011111111111111111111111111111001
+58 0 4.29497e+009 0b011111111111111111111111111111001
+59 0 4.29497e+009 0b011111111111111111111111111111001
+60 0 4.29497e+009 0b011111111111111111111111111111001
+61 0 4.29497e+009 0b011111111111111111111111111111001
+62 0 4.29497e+009 0b011111111111111111111111111111001
+63 0 4.29497e+009 0b011111111111111111111111111111001
+64 0 4.29497e+009 0b011111111111111111111111111111001
+65 0 4.29497e+009 0b011111111111111111111111111111001
+66 0 4.29497e+009 0b011111111111111111111111111111001
+67 0 4.29497e+009 0b011111111111111111111111111111001
+68 0 4.29497e+009 0b011111111111111111111111111111001
+69 0 4.29497e+009 0b011111111111111111111111111111001
+70 0 4.29497e+009 0b011111111111111111111111111111001
+71 0 4.29497e+009 0b011111111111111111111111111111001
+72 0 4.29497e+009 0b011111111111111111111111111111001
+73 0 4.29497e+009 0b011111111111111111111111111111001
+74 0 4.29497e+009 0b011111111111111111111111111111001
+75 0 4.29497e+009 0b011111111111111111111111111111001
+76 0 4.29497e+009 0b011111111111111111111111111111001
+77 0 4.29497e+009 0b011111111111111111111111111111001
+78 0 4.29497e+009 0b011111111111111111111111111111001
+79 0 4.29497e+009 0b011111111111111111111111111111001
+80 0 4.29497e+009 0b011111111111111111111111111111001
+81 0 4.29497e+009 0b011111111111111111111111111111001
+82 0 4.29497e+009 0b011111111111111111111111111111001
+83 0 4.29497e+009 0b011111111111111111111111111111001
+84 0 4.29497e+009 0b011111111111111111111111111111001
+85 0 4.29497e+009 0b011111111111111111111111111111001
+86 0 4.29497e+009 0b011111111111111111111111111111001
+87 0 4.29497e+009 0b011111111111111111111111111111001
+88 0 4.29497e+009 0b011111111111111111111111111111001
+89 0 4.29497e+009 0b011111111111111111111111111111001
+90 0 4.29497e+009 0b011111111111111111111111111111001
+91 0 4.29497e+009 0b011111111111111111111111111111001
+92 0 4.29497e+009 0b011111111111111111111111111111001
+93 0 4.29497e+009 0b011111111111111111111111111111001
+94 0 4.29497e+009 0b011111111111111111111111111111001
+95 0 4.29497e+009 0b011111111111111111111111111111001
+96 0 4.29497e+009 0b011111111111111111111111111111001
+97 0 4.29497e+009 0b011111111111111111111111111111001
+98 0 4.29497e+009 0b011111111111111111111111111111001
+99 0 4.29497e+009 0b011111111111111111111111111111001
+100 0 4.29497e+009 0b011111111111111111111111111111001
+101 0 4.29497e+009 0b011111111111111111111111111111001
+102 0 4.29497e+009 0b011111111111111111111111111111001
+103 0 4.29497e+009 0b011111111111111111111111111111001
+104 0 4.29497e+009 0b011111111111111111111111111111001
+105 0 4.29497e+009 0b011111111111111111111111111111001
+106 0 4.29497e+009 0b011111111111111111111111111111001
+107 0 4.29497e+009 0b011111111111111111111111111111001
+108 0 4.29497e+009 0b011111111111111111111111111111001
+109 0 4.29497e+009 0b011111111111111111111111111111001
+110 0 4.29497e+009 0b011111111111111111111111111111001
+111 0 4.29497e+009 0b011111111111111111111111111111001
+112 0 4.29497e+009 0b011111111111111111111111111111001
+113 0 4.29497e+009 0b011111111111111111111111111111001
+114 0 4.29497e+009 0b011111111111111111111111111111001
+115 0 4.29497e+009 0b011111111111111111111111111111001
+116 0 4.29497e+009 0b011111111111111111111111111111001
+117 0 4.29497e+009 0b011111111111111111111111111111001
+118 0 4.29497e+009 0b011111111111111111111111111111001
+119 0 4.29497e+009 0b011111111111111111111111111111001
+120 0 4.29497e+009 0b011111111111111111111111111111001
+121 0 4.29497e+009 0b011111111111111111111111111111001
+122 0 4.29497e+009 0b011111111111111111111111111111001
+123 0 4.29497e+009 0b011111111111111111111111111111001
+124 0 4.29497e+009 0b011111111111111111111111111111001
+125 0 4.29497e+009 0b011111111111111111111111111111001
+126 0 4.29497e+009 0b011111111111111111111111111111001
+127 0 4.29497e+009 0b011111111111111111111111111111001
+128 0 4.29497e+009 0b011111111111111111111111111111001
+129 0 4.29497e+009 0b011111111111111111111111111111001
+130 0 4.29497e+009 0b011111111111111111111111111111001
+131 0 4.29497e+009 0b011111111111111111111111111111001
+132 0 4.29497e+009 0b011111111111111111111111111111001
+133 0 4.29497e+009 0b011111111111111111111111111111001
+134 0 4.29497e+009 0b011111111111111111111111111111001
+135 0 4.29497e+009 0b011111111111111111111111111111001
+136 0 4.29497e+009 0b011111111111111111111111111111001
+137 0 4.29497e+009 0b011111111111111111111111111111001
+138 0 4.29497e+009 0b011111111111111111111111111111001
+139 0 4.29497e+009 0b011111111111111111111111111111001
+140 0 4.29497e+009 0b011111111111111111111111111111001
+141 0 4.29497e+009 0b011111111111111111111111111111001
+142 0 4.29497e+009 0b011111111111111111111111111111001
+143 0 4.29497e+009 0b011111111111111111111111111111001
+144 0 4.29497e+009 0b011111111111111111111111111111001
+145 0 4.29497e+009 0b011111111111111111111111111111001
+146 0 4.29497e+009 0b011111111111111111111111111111001
+147 0 4.29497e+009 0b011111111111111111111111111111001
+148 0 4.29497e+009 0b011111111111111111111111111111001
+149 0 4.29497e+009 0b011111111111111111111111111111001
+150 0 4.29497e+009 0b011111111111111111111111111111001
+151 0 4.29497e+009 0b011111111111111111111111111111001
+152 0 4.29497e+009 0b011111111111111111111111111111001
+153 0 4.29497e+009 0b011111111111111111111111111111001
+154 0 4.29497e+009 0b011111111111111111111111111111001
+155 0 4.29497e+009 0b011111111111111111111111111111001
+156 0 4.29497e+009 0b011111111111111111111111111111001
+157 0 4.29497e+009 0b011111111111111111111111111111001
+158 0 4.29497e+009 0b011111111111111111111111111111001
+159 0 4.29497e+009 0b011111111111111111111111111111001
+160 0 4.29497e+009 0b011111111111111111111111111111001
+161 0 4.29497e+009 0b011111111111111111111111111111001
+162 0 4.29497e+009 0b011111111111111111111111111111001
+163 0 4.29497e+009 0b011111111111111111111111111111001
+164 0 4.29497e+009 0b011111111111111111111111111111001
+165 0 4.29497e+009 0b011111111111111111111111111111001
+166 0 4.29497e+009 0b011111111111111111111111111111001
+167 0 4.29497e+009 0b011111111111111111111111111111001
+168 0 4.29497e+009 0b011111111111111111111111111111001
+169 0 4.29497e+009 0b011111111111111111111111111111001
+170 0 4.29497e+009 0b011111111111111111111111111111001
+171 0 4.29497e+009 0b011111111111111111111111111111001
+172 0 4.29497e+009 0b011111111111111111111111111111001
+173 0 4.29497e+009 0b011111111111111111111111111111001
+174 0 4.29497e+009 0b011111111111111111111111111111001
+175 0 4.29497e+009 0b011111111111111111111111111111001
+176 0 4.29497e+009 0b011111111111111111111111111111001
+177 0 4.29497e+009 0b011111111111111111111111111111001
+178 0 4.29497e+009 0b011111111111111111111111111111001
+179 0 4.29497e+009 0b011111111111111111111111111111001
+180 0 4.29497e+009 0b011111111111111111111111111111001
+181 0 4.29497e+009 0b011111111111111111111111111111001
+182 0 4.29497e+009 0b011111111111111111111111111111001
+183 0 4.29497e+009 0b011111111111111111111111111111001
+184 0 4.29497e+009 0b011111111111111111111111111111001
+185 0 4.29497e+009 0b011111111111111111111111111111001
+186 0 4.29497e+009 0b011111111111111111111111111111001
+187 0 4.29497e+009 0b011111111111111111111111111111001
+188 0 4.29497e+009 0b011111111111111111111111111111001
+189 0 4.29497e+009 0b011111111111111111111111111111001
+190 0 4.29497e+009 0b011111111111111111111111111111001
+191 0 4.29497e+009 0b011111111111111111111111111111001
+192 0 4.29497e+009 0b011111111111111111111111111111001
+193 0 4.29497e+009 0b011111111111111111111111111111001
+194 0 4.29497e+009 0b011111111111111111111111111111001
+195 0 4.29497e+009 0b011111111111111111111111111111001
+196 0 4.29497e+009 0b011111111111111111111111111111001
+197 0 4.29497e+009 0b011111111111111111111111111111001
+198 0 4.29497e+009 0b011111111111111111111111111111001
+199 0 4.29497e+009 0b011111111111111111111111111111001
+200 0 4.29497e+009 0b011111111111111111111111111111001
+201 0 4.29497e+009 0b011111111111111111111111111111001
+202 0 4.29497e+009 0b011111111111111111111111111111001
+203 0 4.29497e+009 0b011111111111111111111111111111001
+204 0 4.29497e+009 0b011111111111111111111111111111001
+205 0 4.29497e+009 0b011111111111111111111111111111001
+206 0 4.29497e+009 0b011111111111111111111111111111001
+207 0 4.29497e+009 0b011111111111111111111111111111001
+208 0 4.29497e+009 0b011111111111111111111111111111001
+209 0 4.29497e+009 0b011111111111111111111111111111001
+210 0 4.29497e+009 0b011111111111111111111111111111001
+211 0 4.29497e+009 0b011111111111111111111111111111001
+212 0 4.29497e+009 0b011111111111111111111111111111001
+213 0 4.29497e+009 0b011111111111111111111111111111001
+214 0 4.29497e+009 0b011111111111111111111111111111001
+215 0 4.29497e+009 0b011111111111111111111111111111001
+216 0 4.29497e+009 0b011111111111111111111111111111001
+217 0 4.29497e+009 0b011111111111111111111111111111001
+218 0 4.29497e+009 0b011111111111111111111111111111001
+219 0 4.29497e+009 0b011111111111111111111111111111001
+220 0 4.29497e+009 0b011111111111111111111111111111001
+221 0 4.29497e+009 0b011111111111111111111111111111001
+222 0 4.29497e+009 0b011111111111111111111111111111001
+223 0 4.29497e+009 0b011111111111111111111111111111001
+224 0 4.29497e+009 0b011111111111111111111111111111001
+225 0 4.29497e+009 0b011111111111111111111111111111001
+226 0 4.29497e+009 0b011111111111111111111111111111001
+227 0 4.29497e+009 0b011111111111111111111111111111001
+228 0 4.29497e+009 0b011111111111111111111111111111001
+229 0 4.29497e+009 0b011111111111111111111111111111001
+230 0 4.29497e+009 0b011111111111111111111111111111001
+231 0 4.29497e+009 0b011111111111111111111111111111001
+232 0 4.29497e+009 0b011111111111111111111111111111001
+233 0 4.29497e+009 0b011111111111111111111111111111001
+234 0 4.29497e+009 0b011111111111111111111111111111001
+235 0 4.29497e+009 0b011111111111111111111111111111001
+236 0 4.29497e+009 0b011111111111111111111111111111001
+237 0 4.29497e+009 0b011111111111111111111111111111001
+238 0 4.29497e+009 0b011111111111111111111111111111001
+239 0 4.29497e+009 0b011111111111111111111111111111001
+240 0 4.29497e+009 0b011111111111111111111111111111001
+241 0 4.29497e+009 0b011111111111111111111111111111001
+242 0 4.29497e+009 0b011111111111111111111111111111001
+243 0 4.29497e+009 0b011111111111111111111111111111001
+244 0 4.29497e+009 0b011111111111111111111111111111001
+245 0 4.29497e+009 0b011111111111111111111111111111001
+246 0 4.29497e+009 0b011111111111111111111111111111001
+247 0 4.29497e+009 0b011111111111111111111111111111001
+248 0 4.29497e+009 0b011111111111111111111111111111001
+249 0 4.29497e+009 0b011111111111111111111111111111001
+250 0 4.29497e+009 0b011111111111111111111111111111001
+251 0 4.29497e+009 0b011111111111111111111111111111001
+252 0 4.29497e+009 0b011111111111111111111111111111001
+253 0 4.29497e+009 0b011111111111111111111111111111001
+254 0 4.29497e+009 0b011111111111111111111111111111001
+255 0 4.29497e+009 0b011111111111111111111111111111001
+256 0 4.29497e+009 0b011111111111111111111111111111001
+257 0 4.29497e+009 0b011111111111111111111111111111001
+258 0 4.29497e+009 0b011111111111111111111111111111001
+259 0 4.29497e+009 0b011111111111111111111111111111001
+260 0 4.29497e+009 0b011111111111111111111111111111001
+261 0 4.29497e+009 0b011111111111111111111111111111001
+262 0 4.29497e+009 0b011111111111111111111111111111001
+263 0 4.29497e+009 0b011111111111111111111111111111001
+264 0 4.29497e+009 0b011111111111111111111111111111001
+265 0 4.29497e+009 0b011111111111111111111111111111001
+266 0 4.29497e+009 0b011111111111111111111111111111001
+267 0 4.29497e+009 0b011111111111111111111111111111001
+268 0 4.29497e+009 0b011111111111111111111111111111001
+269 0 4.29497e+009 0b011111111111111111111111111111001
+270 0 4.29497e+009 0b011111111111111111111111111111001
+271 0 4.29497e+009 0b011111111111111111111111111111001
+272 0 4.29497e+009 0b011111111111111111111111111111001
+273 0 4.29497e+009 0b011111111111111111111111111111001
+274 0 4.29497e+009 0b011111111111111111111111111111001
+275 0 4.29497e+009 0b011111111111111111111111111111001
+276 0 4.29497e+009 0b011111111111111111111111111111001
+277 0 4.29497e+009 0b011111111111111111111111111111001
+278 0 4.29497e+009 0b011111111111111111111111111111001
+279 0 4.29497e+009 0b011111111111111111111111111111001
+280 0 4.29497e+009 0b011111111111111111111111111111001
+281 0 4.29497e+009 0b011111111111111111111111111111001
+282 0 4.29497e+009 0b011111111111111111111111111111001
+283 0 4.29497e+009 0b011111111111111111111111111111001
+284 0 4.29497e+009 0b011111111111111111111111111111001
+285 0 4.29497e+009 0b011111111111111111111111111111001
+286 0 4.29497e+009 0b011111111111111111111111111111001
+287 0 4.29497e+009 0b011111111111111111111111111111001
+288 0 4.29497e+009 0b011111111111111111111111111111001
+289 0 4.29497e+009 0b011111111111111111111111111111001
+290 0 4.29497e+009 0b011111111111111111111111111111001
+291 0 4.29497e+009 0b011111111111111111111111111111001
+292 0 4.29497e+009 0b011111111111111111111111111111001
+293 0 4.29497e+009 0b011111111111111111111111111111001
+294 0 4.29497e+009 0b011111111111111111111111111111001
+295 0 4.29497e+009 0b011111111111111111111111111111001
+296 0 4.29497e+009 0b011111111111111111111111111111001
+297 0 4.29497e+009 0b011111111111111111111111111111001
+298 0 4.29497e+009 0b011111111111111111111111111111001
+299 0 4.29497e+009 0b011111111111111111111111111111001
+0 0 4.29497e+009 0b011111111111111111111111111111001
+1 0 4.29497e+009 0b011111111111111111111111111111001
+2 0 4.29497e+009 0b011111111111111111111111111111001
+3 0 4.29497e+009 0b011111111111111111111111111111001
+4 0 4.29497e+009 0b011111111111111111111111111111001
+5 0 4.29497e+009 0b011111111111111111111111111111001
+6 0 4.29497e+009 0b011111111111111111111111111111001
+7 0 4.29497e+009 0b011111111111111111111111111111001
+8 0 4.29497e+009 0b011111111111111111111111111111001
+9 0 4.29497e+009 0b011111111111111111111111111111001
+10 0 4.29497e+009 0b011111111111111111111111111111001
+11 0 4.29497e+009 0b011111111111111111111111111111001
+12 0 4.29497e+009 0b011111111111111111111111111111001
+13 0 4.29497e+009 0b011111111111111111111111111111001
+14 0 4.29497e+009 0b011111111111111111111111111111001
+15 0 4.29497e+009 0b011111111111111111111111111111001
+16 0 4.29497e+009 0b011111111111111111111111111111001
+17 0 4.29497e+009 0b011111111111111111111111111111001
+18 0 4.29497e+009 0b011111111111111111111111111111001
+19 0 4.29497e+009 0b011111111111111111111111111111001
+20 0 4.29497e+009 0b011111111111111111111111111111001
+21 0 4.29497e+009 0b011111111111111111111111111111001
+22 0 4.29497e+009 0b011111111111111111111111111111001
+23 0 4.29497e+009 0b011111111111111111111111111111001
+24 0 4.29497e+009 0b011111111111111111111111111111001
+25 0 4.29497e+009 0b011111111111111111111111111111001
+26 0 4.29497e+009 0b011111111111111111111111111111001
+27 0 4.29497e+009 0b011111111111111111111111111111001
+28 0 4.29497e+009 0b011111111111111111111111111111001
+29 0 4.29497e+009 0b011111111111111111111111111111001
+30 0 4.29497e+009 0b011111111111111111111111111111001
+31 0 4.29497e+009 0b011111111111111111111111111111001
+32 0 4.29497e+009 0b011111111111111111111111111111001
+33 0 4.29497e+009 0b011111111111111111111111111111001
+34 0 4.29497e+009 0b011111111111111111111111111111001
+35 0 4.29497e+009 0b011111111111111111111111111111001
+36 0 4.29497e+009 0b011111111111111111111111111111001
+37 0 4.29497e+009 0b011111111111111111111111111111001
+38 0 4.29497e+009 0b011111111111111111111111111111001
+39 0 4.29497e+009 0b011111111111111111111111111111001
+40 0 4.29497e+009 0b011111111111111111111111111111001
+41 0 4.29497e+009 0b011111111111111111111111111111001
+42 0 4.29497e+009 0b011111111111111111111111111111001
+43 0 4.29497e+009 0b011111111111111111111111111111001
+44 0 4.29497e+009 0b011111111111111111111111111111001
+45 0 4.29497e+009 0b011111111111111111111111111111001
+46 0 4.29497e+009 0b011111111111111111111111111111001
+47 0 4.29497e+009 0b011111111111111111111111111111001
+48 0 4.29497e+009 0b011111111111111111111111111111001
+49 0 4.29497e+009 0b011111111111111111111111111111001
+50 0 4.29497e+009 0b011111111111111111111111111111001
+51 0 4.29497e+009 0b011111111111111111111111111111001
+52 0 4.29497e+009 0b011111111111111111111111111111001
+53 0 4.29497e+009 0b011111111111111111111111111111001
+54 0 4.29497e+009 0b011111111111111111111111111111001
+55 0 4.29497e+009 0b011111111111111111111111111111001
+56 0 4.29497e+009 0b011111111111111111111111111111001
+57 0 4.29497e+009 0b011111111111111111111111111111001
+58 0 4.29497e+009 0b011111111111111111111111111111001
+59 0 4.29497e+009 0b011111111111111111111111111111001
+60 0 4.29497e+009 0b011111111111111111111111111111001
+61 0 4.29497e+009 0b011111111111111111111111111111001
+62 0 4.29497e+009 0b011111111111111111111111111111001
+63 0 4.29497e+009 0b011111111111111111111111111111001
+64 0 4.29497e+009 0b011111111111111111111111111111001
+65 0 4.29497e+009 0b011111111111111111111111111111001
+66 0 4.29497e+009 0b011111111111111111111111111111001
+67 0 4.29497e+009 0b011111111111111111111111111111001
+68 0 4.29497e+009 0b011111111111111111111111111111001
+69 0 4.29497e+009 0b011111111111111111111111111111001
+70 0 4.29497e+009 0b011111111111111111111111111111001
+71 0 4.29497e+009 0b011111111111111111111111111111001
+72 0 4.29497e+009 0b011111111111111111111111111111001
+73 0 4.29497e+009 0b011111111111111111111111111111001
+74 0 4.29497e+009 0b011111111111111111111111111111001
+75 0 4.29497e+009 0b011111111111111111111111111111001
+76 0 4.29497e+009 0b011111111111111111111111111111001
+77 0 4.29497e+009 0b011111111111111111111111111111001
+78 0 4.29497e+009 0b011111111111111111111111111111001
+79 0 4.29497e+009 0b011111111111111111111111111111001
+80 0 4.29497e+009 0b011111111111111111111111111111001
+81 0 4.29497e+009 0b011111111111111111111111111111001
+82 0 4.29497e+009 0b011111111111111111111111111111001
+83 0 4.29497e+009 0b011111111111111111111111111111001
+84 0 4.29497e+009 0b011111111111111111111111111111001
+85 0 4.29497e+009 0b011111111111111111111111111111001
+86 0 4.29497e+009 0b011111111111111111111111111111001
+87 0 4.29497e+009 0b011111111111111111111111111111001
+88 0 4.29497e+009 0b011111111111111111111111111111001
+89 0 4.29497e+009 0b011111111111111111111111111111001
+90 0 4.29497e+009 0b011111111111111111111111111111001
+91 0 4.29497e+009 0b011111111111111111111111111111001
+92 0 4.29497e+009 0b011111111111111111111111111111001
+93 0 4.29497e+009 0b011111111111111111111111111111001
+94 0 4.29497e+009 0b011111111111111111111111111111001
+95 0 4.29497e+009 0b011111111111111111111111111111001
+96 0 4.29497e+009 0b011111111111111111111111111111001
+97 0 4.29497e+009 0b011111111111111111111111111111001
+98 0 4.29497e+009 0b011111111111111111111111111111001
+99 0 4.29497e+009 0b011111111111111111111111111111001
+100 0 4.29497e+009 0b011111111111111111111111111111001
+101 0 4.29497e+009 0b011111111111111111111111111111001
+102 0 4.29497e+009 0b011111111111111111111111111111001
+103 0 4.29497e+009 0b011111111111111111111111111111001
+104 0 4.29497e+009 0b011111111111111111111111111111001
+105 0 4.29497e+009 0b011111111111111111111111111111001
+106 0 4.29497e+009 0b011111111111111111111111111111001
+107 0 4.29497e+009 0b011111111111111111111111111111001
+108 0 4.29497e+009 0b011111111111111111111111111111001
+109 0 4.29497e+009 0b011111111111111111111111111111001
+110 0 4.29497e+009 0b011111111111111111111111111111001
+111 0 4.29497e+009 0b011111111111111111111111111111001
+112 0 4.29497e+009 0b011111111111111111111111111111001
+113 0 4.29497e+009 0b011111111111111111111111111111001
+114 0 4.29497e+009 0b011111111111111111111111111111001
+115 0 4.29497e+009 0b011111111111111111111111111111001
+116 0 4.29497e+009 0b011111111111111111111111111111001
+117 0 4.29497e+009 0b011111111111111111111111111111001
+118 0 4.29497e+009 0b011111111111111111111111111111001
+119 0 4.29497e+009 0b011111111111111111111111111111001
+120 0 4.29497e+009 0b011111111111111111111111111111001
+121 0 4.29497e+009 0b011111111111111111111111111111001
+122 0 4.29497e+009 0b011111111111111111111111111111001
+123 0 4.29497e+009 0b011111111111111111111111111111001
+124 0 4.29497e+009 0b011111111111111111111111111111001
+125 0 4.29497e+009 0b011111111111111111111111111111001
+126 0 4.29497e+009 0b011111111111111111111111111111001
+127 0 4.29497e+009 0b011111111111111111111111111111001
+128 0 4.29497e+009 0b011111111111111111111111111111001
+129 0 4.29497e+009 0b011111111111111111111111111111001
+130 0 4.29497e+009 0b011111111111111111111111111111001
+131 0 4.29497e+009 0b011111111111111111111111111111001
+132 0 4.29497e+009 0b011111111111111111111111111111001
+133 0 4.29497e+009 0b011111111111111111111111111111001
+134 0 4.29497e+009 0b011111111111111111111111111111001
+135 0 4.29497e+009 0b011111111111111111111111111111001
+136 0 4.29497e+009 0b011111111111111111111111111111001
+137 0 4.29497e+009 0b011111111111111111111111111111001
+138 0 4.29497e+009 0b011111111111111111111111111111001
+139 0 4.29497e+009 0b011111111111111111111111111111001
+140 0 4.29497e+009 0b011111111111111111111111111111001
+141 0 4.29497e+009 0b011111111111111111111111111111001
+142 0 4.29497e+009 0b011111111111111111111111111111001
+143 0 4.29497e+009 0b011111111111111111111111111111001
+144 0 4.29497e+009 0b011111111111111111111111111111001
+145 0 4.29497e+009 0b011111111111111111111111111111001
+146 0 4.29497e+009 0b011111111111111111111111111111001
+147 0 4.29497e+009 0b011111111111111111111111111111001
+148 0 4.29497e+009 0b011111111111111111111111111111001
+149 0 4.29497e+009 0b011111111111111111111111111111001
+150 0 4.29497e+009 0b011111111111111111111111111111001
+151 0 4.29497e+009 0b011111111111111111111111111111001
+152 0 4.29497e+009 0b011111111111111111111111111111001
+153 0 4.29497e+009 0b011111111111111111111111111111001
+154 0 4.29497e+009 0b011111111111111111111111111111001
+155 0 4.29497e+009 0b011111111111111111111111111111001
+156 0 4.29497e+009 0b011111111111111111111111111111001
+157 0 4.29497e+009 0b011111111111111111111111111111001
+158 0 4.29497e+009 0b011111111111111111111111111111001
+159 0 4.29497e+009 0b011111111111111111111111111111001
+160 0 4.29497e+009 0b011111111111111111111111111111001
+161 0 4.29497e+009 0b011111111111111111111111111111001
+162 0 4.29497e+009 0b011111111111111111111111111111001
+163 0 4.29497e+009 0b011111111111111111111111111111001
+164 0 4.29497e+009 0b011111111111111111111111111111001
+165 0 4.29497e+009 0b011111111111111111111111111111001
+166 0 4.29497e+009 0b011111111111111111111111111111001
+167 0 4.29497e+009 0b011111111111111111111111111111001
+168 0 4.29497e+009 0b011111111111111111111111111111001
+169 0 4.29497e+009 0b011111111111111111111111111111001
+170 0 4.29497e+009 0b011111111111111111111111111111001
+171 0 4.29497e+009 0b011111111111111111111111111111001
+172 0 4.29497e+009 0b011111111111111111111111111111001
+173 0 4.29497e+009 0b011111111111111111111111111111001
+174 0 4.29497e+009 0b011111111111111111111111111111001
+175 0 4.29497e+009 0b011111111111111111111111111111001
+176 0 4.29497e+009 0b011111111111111111111111111111001
+177 0 4.29497e+009 0b011111111111111111111111111111001
+178 0 4.29497e+009 0b011111111111111111111111111111001
+179 0 4.29497e+009 0b011111111111111111111111111111001
+180 0 4.29497e+009 0b011111111111111111111111111111001
+181 0 4.29497e+009 0b011111111111111111111111111111001
+182 0 4.29497e+009 0b011111111111111111111111111111001
+183 0 4.29497e+009 0b011111111111111111111111111111001
+184 0 4.29497e+009 0b011111111111111111111111111111001
+185 0 4.29497e+009 0b011111111111111111111111111111001
+186 0 4.29497e+009 0b011111111111111111111111111111001
+187 0 4.29497e+009 0b011111111111111111111111111111001
+188 0 4.29497e+009 0b011111111111111111111111111111001
+189 0 4.29497e+009 0b011111111111111111111111111111001
+190 0 4.29497e+009 0b011111111111111111111111111111001
+191 0 4.29497e+009 0b011111111111111111111111111111001
+192 0 4.29497e+009 0b011111111111111111111111111111001
+193 0 4.29497e+009 0b011111111111111111111111111111001
+194 0 4.29497e+009 0b011111111111111111111111111111001
+195 0 4.29497e+009 0b011111111111111111111111111111001
+196 0 4.29497e+009 0b011111111111111111111111111111001
+197 0 4.29497e+009 0b011111111111111111111111111111001
+198 0 4.29497e+009 0b011111111111111111111111111111001
+199 0 4.29497e+009 0b011111111111111111111111111111001
+200 0 4.29497e+009 0b011111111111111111111111111111001
+201 0 4.29497e+009 0b011111111111111111111111111111001
+202 0 4.29497e+009 0b011111111111111111111111111111001
+203 0 4.29497e+009 0b011111111111111111111111111111001
+204 0 4.29497e+009 0b011111111111111111111111111111001
+205 0 4.29497e+009 0b011111111111111111111111111111001
+206 0 4.29497e+009 0b011111111111111111111111111111001
+207 0 4.29497e+009 0b011111111111111111111111111111001
+208 0 4.29497e+009 0b011111111111111111111111111111001
+209 0 4.29497e+009 0b011111111111111111111111111111001
+210 0 4.29497e+009 0b011111111111111111111111111111001
+211 0 4.29497e+009 0b011111111111111111111111111111001
+212 0 4.29497e+009 0b011111111111111111111111111111001
+213 0 4.29497e+009 0b011111111111111111111111111111001
+214 0 4.29497e+009 0b011111111111111111111111111111001
+215 0 4.29497e+009 0b011111111111111111111111111111001
+216 0 4.29497e+009 0b011111111111111111111111111111001
+217 0 4.29497e+009 0b011111111111111111111111111111001
+218 0 4.29497e+009 0b011111111111111111111111111111001
+219 0 4.29497e+009 0b011111111111111111111111111111001
+220 0 4.29497e+009 0b011111111111111111111111111111001
+221 0 4.29497e+009 0b011111111111111111111111111111001
+222 0 4.29497e+009 0b011111111111111111111111111111001
+223 0 4.29497e+009 0b011111111111111111111111111111001
+224 0 4.29497e+009 0b011111111111111111111111111111001
+225 0 4.29497e+009 0b011111111111111111111111111111001
+226 0 4.29497e+009 0b011111111111111111111111111111001
+227 0 4.29497e+009 0b011111111111111111111111111111001
+228 0 4.29497e+009 0b011111111111111111111111111111001
+229 0 4.29497e+009 0b011111111111111111111111111111001
+230 0 4.29497e+009 0b011111111111111111111111111111001
+231 0 4.29497e+009 0b011111111111111111111111111111001
+232 0 4.29497e+009 0b011111111111111111111111111111001
+233 0 4.29497e+009 0b011111111111111111111111111111001
+234 0 4.29497e+009 0b011111111111111111111111111111001
+235 0 4.29497e+009 0b011111111111111111111111111111001
+236 0 4.29497e+009 0b011111111111111111111111111111001
+237 0 4.29497e+009 0b011111111111111111111111111111001
+238 0 4.29497e+009 0b011111111111111111111111111111001
+239 0 4.29497e+009 0b011111111111111111111111111111001
+240 0 4.29497e+009 0b011111111111111111111111111111001
+241 0 4.29497e+009 0b011111111111111111111111111111001
+242 0 4.29497e+009 0b011111111111111111111111111111001
+243 0 4.29497e+009 0b011111111111111111111111111111001
+244 0 4.29497e+009 0b011111111111111111111111111111001
+245 0 4.29497e+009 0b011111111111111111111111111111001
+246 0 4.29497e+009 0b011111111111111111111111111111001
+247 0 4.29497e+009 0b011111111111111111111111111111001
+248 0 4.29497e+009 0b011111111111111111111111111111001
+249 0 4.29497e+009 0b011111111111111111111111111111001
+250 0 4.29497e+009 0b011111111111111111111111111111001
+251 0 4.29497e+009 0b011111111111111111111111111111001
+252 0 4.29497e+009 0b011111111111111111111111111111001
+253 0 4.29497e+009 0b011111111111111111111111111111001
+254 0 4.29497e+009 0b011111111111111111111111111111001
+255 0 4.29497e+009 0b011111111111111111111111111111001
+256 0 4.29497e+009 0b011111111111111111111111111111001
+257 0 4.29497e+009 0b011111111111111111111111111111001
+258 0 4.29497e+009 0b011111111111111111111111111111001
+259 0 4.29497e+009 0b011111111111111111111111111111001
+260 0 4.29497e+009 0b011111111111111111111111111111001
+261 0 4.29497e+009 0b011111111111111111111111111111001
+262 0 4.29497e+009 0b011111111111111111111111111111001
+263 0 4.29497e+009 0b011111111111111111111111111111001
+264 0 4.29497e+009 0b011111111111111111111111111111001
+265 0 4.29497e+009 0b011111111111111111111111111111001
+266 0 4.29497e+009 0b011111111111111111111111111111001
+267 0 4.29497e+009 0b011111111111111111111111111111001
+268 0 4.29497e+009 0b011111111111111111111111111111001
+269 0 4.29497e+009 0b011111111111111111111111111111001
+270 0 4.29497e+009 0b011111111111111111111111111111001
+271 0 4.29497e+009 0b011111111111111111111111111111001
+272 0 4.29497e+009 0b011111111111111111111111111111001
+273 0 4.29497e+009 0b011111111111111111111111111111001
+274 0 4.29497e+009 0b011111111111111111111111111111001
+275 0 4.29497e+009 0b011111111111111111111111111111001
+276 0 4.29497e+009 0b011111111111111111111111111111001
+277 0 4.29497e+009 0b011111111111111111111111111111001
+278 0 4.29497e+009 0b011111111111111111111111111111001
+279 0 4.29497e+009 0b011111111111111111111111111111001
+280 0 4.29497e+009 0b011111111111111111111111111111001
+281 0 4.29497e+009 0b011111111111111111111111111111001
+282 0 4.29497e+009 0b011111111111111111111111111111001
+283 0 4.29497e+009 0b011111111111111111111111111111001
+284 0 4.29497e+009 0b011111111111111111111111111111001
+285 0 4.29497e+009 0b011111111111111111111111111111001
+286 0 4.29497e+009 0b011111111111111111111111111111001
+287 0 4.29497e+009 0b011111111111111111111111111111001
+288 0 4.29497e+009 0b011111111111111111111111111111001
+289 0 4.29497e+009 0b011111111111111111111111111111001
+290 0 4.29497e+009 0b011111111111111111111111111111001
+291 0 4.29497e+009 0b011111111111111111111111111111001
+292 0 4.29497e+009 0b011111111111111111111111111111001
+293 0 4.29497e+009 0b011111111111111111111111111111001
+294 0 4.29497e+009 0b011111111111111111111111111111001
+295 0 4.29497e+009 0b011111111111111111111111111111001
+296 0 4.29497e+009 0b011111111111111111111111111111001
+297 0 4.29497e+009 0b011111111111111111111111111111001
+298 0 4.29497e+009 0b011111111111111111111111111111001
+299 0 4.29497e+009 0b011111111111111111111111111111001
+0 8 9 0b00000000000000000000000000001001
+1 16 25 0b00000000000000000000000000011001
+2 32 57 0b00000000000000000000000000111001
+3 64 121 0b00000000000000000000000001111001
+4 128 249 0b00000000000000000000000011111001
+5 256 505 0b00000000000000000000000111111001
+6 512 1017 0b00000000000000000000001111111001
+7 1024 2041 0b00000000000000000000011111111001
+8 2048 4089 0b00000000000000000000111111111001
+9 4096 8185 0b00000000000000000001111111111001
+10 8192 16377 0b00000000000000000011111111111001
+11 16384 32761 0b00000000000000000111111111111001
+12 32768 65529 0b00000000000000001111111111111001
+13 65536 131065 0b00000000000000011111111111111001
+14 131072 262137 0b00000000000000111111111111111001
+15 262144 524281 0b00000000000001111111111111111001
+16 524288 1.04857e+006 0b00000000000011111111111111111001
+17 1.04858e+006 2.09715e+006 0b00000000000111111111111111111001
+18 2.09715e+006 4.1943e+006 0b00000000001111111111111111111001
+19 4.1943e+006 8.3886e+006 0b00000000011111111111111111111001
+20 8.38861e+006 1.67772e+007 0b00000000111111111111111111111001
+21 1.67772e+007 3.35544e+007 0b00000001111111111111111111111001
+22 3.35544e+007 6.71089e+007 0b00000011111111111111111111111001
+23 6.71089e+007 1.34218e+008 0b00000111111111111111111111111001
+24 1.34218e+008 2.68435e+008 0b00001111111111111111111111111001
+25 2.68435e+008 5.36871e+008 0b00011111111111111111111111111001
+26 5.36871e+008 1.07374e+009 0b00111111111111111111111111111001
+27 1.07374e+009 2.14748e+009 0b01111111111111111111111111111001
+28 -2.14748e+009 -7 0b11111111111111111111111111111001
+29 0 -7 0b11111111111111111111111111111001
+30 0 -7 0b11111111111111111111111111111001
+31 0 -7 0b11111111111111111111111111111001
+32 0 -7 0b11111111111111111111111111111001
+33 0 -7 0b11111111111111111111111111111001
+34 0 -7 0b11111111111111111111111111111001
+35 0 -7 0b11111111111111111111111111111001
+36 0 -7 0b11111111111111111111111111111001
+37 0 -7 0b11111111111111111111111111111001
+38 0 -7 0b11111111111111111111111111111001
+39 0 -7 0b11111111111111111111111111111001
+40 0 -7 0b11111111111111111111111111111001
+41 0 -7 0b11111111111111111111111111111001
+42 0 -7 0b11111111111111111111111111111001
+43 0 -7 0b11111111111111111111111111111001
+44 0 -7 0b11111111111111111111111111111001
+45 0 -7 0b11111111111111111111111111111001
+46 0 -7 0b11111111111111111111111111111001
+47 0 -7 0b11111111111111111111111111111001
+48 0 -7 0b11111111111111111111111111111001
+49 0 -7 0b11111111111111111111111111111001
+50 0 -7 0b11111111111111111111111111111001
+51 0 -7 0b11111111111111111111111111111001
+52 0 -7 0b11111111111111111111111111111001
+53 0 -7 0b11111111111111111111111111111001
+54 0 -7 0b11111111111111111111111111111001
+55 0 -7 0b11111111111111111111111111111001
+56 0 -7 0b11111111111111111111111111111001
+57 0 -7 0b11111111111111111111111111111001
+58 0 -7 0b11111111111111111111111111111001
+59 0 -7 0b11111111111111111111111111111001
+60 0 -7 0b11111111111111111111111111111001
+61 0 -7 0b11111111111111111111111111111001
+62 0 -7 0b11111111111111111111111111111001
+63 0 -7 0b11111111111111111111111111111001
+64 0 -7 0b11111111111111111111111111111001
+65 0 -7 0b11111111111111111111111111111001
+66 0 -7 0b11111111111111111111111111111001
+67 0 -7 0b11111111111111111111111111111001
+68 0 -7 0b11111111111111111111111111111001
+69 0 -7 0b11111111111111111111111111111001
+70 0 -7 0b11111111111111111111111111111001
+71 0 -7 0b11111111111111111111111111111001
+72 0 -7 0b11111111111111111111111111111001
+73 0 -7 0b11111111111111111111111111111001
+74 0 -7 0b11111111111111111111111111111001
+75 0 -7 0b11111111111111111111111111111001
+76 0 -7 0b11111111111111111111111111111001
+77 0 -7 0b11111111111111111111111111111001
+78 0 -7 0b11111111111111111111111111111001
+79 0 -7 0b11111111111111111111111111111001
+80 0 -7 0b11111111111111111111111111111001
+81 0 -7 0b11111111111111111111111111111001
+82 0 -7 0b11111111111111111111111111111001
+83 0 -7 0b11111111111111111111111111111001
+84 0 -7 0b11111111111111111111111111111001
+85 0 -7 0b11111111111111111111111111111001
+86 0 -7 0b11111111111111111111111111111001
+87 0 -7 0b11111111111111111111111111111001
+88 0 -7 0b11111111111111111111111111111001
+89 0 -7 0b11111111111111111111111111111001
+90 0 -7 0b11111111111111111111111111111001
+91 0 -7 0b11111111111111111111111111111001
+92 0 -7 0b11111111111111111111111111111001
+93 0 -7 0b11111111111111111111111111111001
+94 0 -7 0b11111111111111111111111111111001
+95 0 -7 0b11111111111111111111111111111001
+96 0 -7 0b11111111111111111111111111111001
+97 0 -7 0b11111111111111111111111111111001
+98 0 -7 0b11111111111111111111111111111001
+99 0 -7 0b11111111111111111111111111111001
+100 0 -7 0b11111111111111111111111111111001
+101 0 -7 0b11111111111111111111111111111001
+102 0 -7 0b11111111111111111111111111111001
+103 0 -7 0b11111111111111111111111111111001
+104 0 -7 0b11111111111111111111111111111001
+105 0 -7 0b11111111111111111111111111111001
+106 0 -7 0b11111111111111111111111111111001
+107 0 -7 0b11111111111111111111111111111001
+108 0 -7 0b11111111111111111111111111111001
+109 0 -7 0b11111111111111111111111111111001
+110 0 -7 0b11111111111111111111111111111001
+111 0 -7 0b11111111111111111111111111111001
+112 0 -7 0b11111111111111111111111111111001
+113 0 -7 0b11111111111111111111111111111001
+114 0 -7 0b11111111111111111111111111111001
+115 0 -7 0b11111111111111111111111111111001
+116 0 -7 0b11111111111111111111111111111001
+117 0 -7 0b11111111111111111111111111111001
+118 0 -7 0b11111111111111111111111111111001
+119 0 -7 0b11111111111111111111111111111001
+120 0 -7 0b11111111111111111111111111111001
+121 0 -7 0b11111111111111111111111111111001
+122 0 -7 0b11111111111111111111111111111001
+123 0 -7 0b11111111111111111111111111111001
+124 0 -7 0b11111111111111111111111111111001
+125 0 -7 0b11111111111111111111111111111001
+126 0 -7 0b11111111111111111111111111111001
+127 0 -7 0b11111111111111111111111111111001
+128 0 -7 0b11111111111111111111111111111001
+129 0 -7 0b11111111111111111111111111111001
+130 0 -7 0b11111111111111111111111111111001
+131 0 -7 0b11111111111111111111111111111001
+132 0 -7 0b11111111111111111111111111111001
+133 0 -7 0b11111111111111111111111111111001
+134 0 -7 0b11111111111111111111111111111001
+135 0 -7 0b11111111111111111111111111111001
+136 0 -7 0b11111111111111111111111111111001
+137 0 -7 0b11111111111111111111111111111001
+138 0 -7 0b11111111111111111111111111111001
+139 0 -7 0b11111111111111111111111111111001
+140 0 -7 0b11111111111111111111111111111001
+141 0 -7 0b11111111111111111111111111111001
+142 0 -7 0b11111111111111111111111111111001
+143 0 -7 0b11111111111111111111111111111001
+144 0 -7 0b11111111111111111111111111111001
+145 0 -7 0b11111111111111111111111111111001
+146 0 -7 0b11111111111111111111111111111001
+147 0 -7 0b11111111111111111111111111111001
+148 0 -7 0b11111111111111111111111111111001
+149 0 -7 0b11111111111111111111111111111001
+150 0 -7 0b11111111111111111111111111111001
+151 0 -7 0b11111111111111111111111111111001
+152 0 -7 0b11111111111111111111111111111001
+153 0 -7 0b11111111111111111111111111111001
+154 0 -7 0b11111111111111111111111111111001
+155 0 -7 0b11111111111111111111111111111001
+156 0 -7 0b11111111111111111111111111111001
+157 0 -7 0b11111111111111111111111111111001
+158 0 -7 0b11111111111111111111111111111001
+159 0 -7 0b11111111111111111111111111111001
+160 0 -7 0b11111111111111111111111111111001
+161 0 -7 0b11111111111111111111111111111001
+162 0 -7 0b11111111111111111111111111111001
+163 0 -7 0b11111111111111111111111111111001
+164 0 -7 0b11111111111111111111111111111001
+165 0 -7 0b11111111111111111111111111111001
+166 0 -7 0b11111111111111111111111111111001
+167 0 -7 0b11111111111111111111111111111001
+168 0 -7 0b11111111111111111111111111111001
+169 0 -7 0b11111111111111111111111111111001
+170 0 -7 0b11111111111111111111111111111001
+171 0 -7 0b11111111111111111111111111111001
+172 0 -7 0b11111111111111111111111111111001
+173 0 -7 0b11111111111111111111111111111001
+174 0 -7 0b11111111111111111111111111111001
+175 0 -7 0b11111111111111111111111111111001
+176 0 -7 0b11111111111111111111111111111001
+177 0 -7 0b11111111111111111111111111111001
+178 0 -7 0b11111111111111111111111111111001
+179 0 -7 0b11111111111111111111111111111001
+180 0 -7 0b11111111111111111111111111111001
+181 0 -7 0b11111111111111111111111111111001
+182 0 -7 0b11111111111111111111111111111001
+183 0 -7 0b11111111111111111111111111111001
+184 0 -7 0b11111111111111111111111111111001
+185 0 -7 0b11111111111111111111111111111001
+186 0 -7 0b11111111111111111111111111111001
+187 0 -7 0b11111111111111111111111111111001
+188 0 -7 0b11111111111111111111111111111001
+189 0 -7 0b11111111111111111111111111111001
+190 0 -7 0b11111111111111111111111111111001
+191 0 -7 0b11111111111111111111111111111001
+192 0 -7 0b11111111111111111111111111111001
+193 0 -7 0b11111111111111111111111111111001
+194 0 -7 0b11111111111111111111111111111001
+195 0 -7 0b11111111111111111111111111111001
+196 0 -7 0b11111111111111111111111111111001
+197 0 -7 0b11111111111111111111111111111001
+198 0 -7 0b11111111111111111111111111111001
+199 0 -7 0b11111111111111111111111111111001
+200 0 -7 0b11111111111111111111111111111001
+201 0 -7 0b11111111111111111111111111111001
+202 0 -7 0b11111111111111111111111111111001
+203 0 -7 0b11111111111111111111111111111001
+204 0 -7 0b11111111111111111111111111111001
+205 0 -7 0b11111111111111111111111111111001
+206 0 -7 0b11111111111111111111111111111001
+207 0 -7 0b11111111111111111111111111111001
+208 0 -7 0b11111111111111111111111111111001
+209 0 -7 0b11111111111111111111111111111001
+210 0 -7 0b11111111111111111111111111111001
+211 0 -7 0b11111111111111111111111111111001
+212 0 -7 0b11111111111111111111111111111001
+213 0 -7 0b11111111111111111111111111111001
+214 0 -7 0b11111111111111111111111111111001
+215 0 -7 0b11111111111111111111111111111001
+216 0 -7 0b11111111111111111111111111111001
+217 0 -7 0b11111111111111111111111111111001
+218 0 -7 0b11111111111111111111111111111001
+219 0 -7 0b11111111111111111111111111111001
+220 0 -7 0b11111111111111111111111111111001
+221 0 -7 0b11111111111111111111111111111001
+222 0 -7 0b11111111111111111111111111111001
+223 0 -7 0b11111111111111111111111111111001
+224 0 -7 0b11111111111111111111111111111001
+225 0 -7 0b11111111111111111111111111111001
+226 0 -7 0b11111111111111111111111111111001
+227 0 -7 0b11111111111111111111111111111001
+228 0 -7 0b11111111111111111111111111111001
+229 0 -7 0b11111111111111111111111111111001
+230 0 -7 0b11111111111111111111111111111001
+231 0 -7 0b11111111111111111111111111111001
+232 0 -7 0b11111111111111111111111111111001
+233 0 -7 0b11111111111111111111111111111001
+234 0 -7 0b11111111111111111111111111111001
+235 0 -7 0b11111111111111111111111111111001
+236 0 -7 0b11111111111111111111111111111001
+237 0 -7 0b11111111111111111111111111111001
+238 0 -7 0b11111111111111111111111111111001
+239 0 -7 0b11111111111111111111111111111001
+240 0 -7 0b11111111111111111111111111111001
+241 0 -7 0b11111111111111111111111111111001
+242 0 -7 0b11111111111111111111111111111001
+243 0 -7 0b11111111111111111111111111111001
+244 0 -7 0b11111111111111111111111111111001
+245 0 -7 0b11111111111111111111111111111001
+246 0 -7 0b11111111111111111111111111111001
+247 0 -7 0b11111111111111111111111111111001
+248 0 -7 0b11111111111111111111111111111001
+249 0 -7 0b11111111111111111111111111111001
+250 0 -7 0b11111111111111111111111111111001
+251 0 -7 0b11111111111111111111111111111001
+252 0 -7 0b11111111111111111111111111111001
+253 0 -7 0b11111111111111111111111111111001
+254 0 -7 0b11111111111111111111111111111001
+255 0 -7 0b11111111111111111111111111111001
+256 0 -7 0b11111111111111111111111111111001
+257 0 -7 0b11111111111111111111111111111001
+258 0 -7 0b11111111111111111111111111111001
+259 0 -7 0b11111111111111111111111111111001
+260 0 -7 0b11111111111111111111111111111001
+261 0 -7 0b11111111111111111111111111111001
+262 0 -7 0b11111111111111111111111111111001
+263 0 -7 0b11111111111111111111111111111001
+264 0 -7 0b11111111111111111111111111111001
+265 0 -7 0b11111111111111111111111111111001
+266 0 -7 0b11111111111111111111111111111001
+267 0 -7 0b11111111111111111111111111111001
+268 0 -7 0b11111111111111111111111111111001
+269 0 -7 0b11111111111111111111111111111001
+270 0 -7 0b11111111111111111111111111111001
+271 0 -7 0b11111111111111111111111111111001
+272 0 -7 0b11111111111111111111111111111001
+273 0 -7 0b11111111111111111111111111111001
+274 0 -7 0b11111111111111111111111111111001
+275 0 -7 0b11111111111111111111111111111001
+276 0 -7 0b11111111111111111111111111111001
+277 0 -7 0b11111111111111111111111111111001
+278 0 -7 0b11111111111111111111111111111001
+279 0 -7 0b11111111111111111111111111111001
+280 0 -7 0b11111111111111111111111111111001
+281 0 -7 0b11111111111111111111111111111001
+282 0 -7 0b11111111111111111111111111111001
+283 0 -7 0b11111111111111111111111111111001
+284 0 -7 0b11111111111111111111111111111001
+285 0 -7 0b11111111111111111111111111111001
+286 0 -7 0b11111111111111111111111111111001
+287 0 -7 0b11111111111111111111111111111001
+288 0 -7 0b11111111111111111111111111111001
+289 0 -7 0b11111111111111111111111111111001
+290 0 -7 0b11111111111111111111111111111001
+291 0 -7 0b11111111111111111111111111111001
+292 0 -7 0b11111111111111111111111111111001
+293 0 -7 0b11111111111111111111111111111001
+294 0 -7 0b11111111111111111111111111111001
+295 0 -7 0b11111111111111111111111111111001
+296 0 -7 0b11111111111111111111111111111001
+297 0 -7 0b11111111111111111111111111111001
+298 0 -7 0b11111111111111111111111111111001
+299 0 -7 0b11111111111111111111111111111001
+0 0 -7 0b11111111111111111111111111111001
+1 0 -7 0b11111111111111111111111111111001
+2 0 -7 0b11111111111111111111111111111001
+3 0 -7 0b11111111111111111111111111111001
+4 0 -7 0b11111111111111111111111111111001
+5 0 -7 0b11111111111111111111111111111001
+6 0 -7 0b11111111111111111111111111111001
+7 0 -7 0b11111111111111111111111111111001
+8 0 -7 0b11111111111111111111111111111001
+9 0 -7 0b11111111111111111111111111111001
+10 0 -7 0b11111111111111111111111111111001
+11 0 -7 0b11111111111111111111111111111001
+12 0 -7 0b11111111111111111111111111111001
+13 0 -7 0b11111111111111111111111111111001
+14 0 -7 0b11111111111111111111111111111001
+15 0 -7 0b11111111111111111111111111111001
+16 0 -7 0b11111111111111111111111111111001
+17 0 -7 0b11111111111111111111111111111001
+18 0 -7 0b11111111111111111111111111111001
+19 0 -7 0b11111111111111111111111111111001
+20 0 -7 0b11111111111111111111111111111001
+21 0 -7 0b11111111111111111111111111111001
+22 0 -7 0b11111111111111111111111111111001
+23 0 -7 0b11111111111111111111111111111001
+24 0 -7 0b11111111111111111111111111111001
+25 0 -7 0b11111111111111111111111111111001
+26 0 -7 0b11111111111111111111111111111001
+27 0 -7 0b11111111111111111111111111111001
+28 0 -7 0b11111111111111111111111111111001
+29 0 -7 0b11111111111111111111111111111001
+30 0 -7 0b11111111111111111111111111111001
+31 0 -7 0b11111111111111111111111111111001
+32 0 -7 0b11111111111111111111111111111001
+33 0 -7 0b11111111111111111111111111111001
+34 0 -7 0b11111111111111111111111111111001
+35 0 -7 0b11111111111111111111111111111001
+36 0 -7 0b11111111111111111111111111111001
+37 0 -7 0b11111111111111111111111111111001
+38 0 -7 0b11111111111111111111111111111001
+39 0 -7 0b11111111111111111111111111111001
+40 0 -7 0b11111111111111111111111111111001
+41 0 -7 0b11111111111111111111111111111001
+42 0 -7 0b11111111111111111111111111111001
+43 0 -7 0b11111111111111111111111111111001
+44 0 -7 0b11111111111111111111111111111001
+45 0 -7 0b11111111111111111111111111111001
+46 0 -7 0b11111111111111111111111111111001
+47 0 -7 0b11111111111111111111111111111001
+48 0 -7 0b11111111111111111111111111111001
+49 0 -7 0b11111111111111111111111111111001
+50 0 -7 0b11111111111111111111111111111001
+51 0 -7 0b11111111111111111111111111111001
+52 0 -7 0b11111111111111111111111111111001
+53 0 -7 0b11111111111111111111111111111001
+54 0 -7 0b11111111111111111111111111111001
+55 0 -7 0b11111111111111111111111111111001
+56 0 -7 0b11111111111111111111111111111001
+57 0 -7 0b11111111111111111111111111111001
+58 0 -7 0b11111111111111111111111111111001
+59 0 -7 0b11111111111111111111111111111001
+60 0 -7 0b11111111111111111111111111111001
+61 0 -7 0b11111111111111111111111111111001
+62 0 -7 0b11111111111111111111111111111001
+63 0 -7 0b11111111111111111111111111111001
+64 0 -7 0b11111111111111111111111111111001
+65 0 -7 0b11111111111111111111111111111001
+66 0 -7 0b11111111111111111111111111111001
+67 0 -7 0b11111111111111111111111111111001
+68 0 -7 0b11111111111111111111111111111001
+69 0 -7 0b11111111111111111111111111111001
+70 0 -7 0b11111111111111111111111111111001
+71 0 -7 0b11111111111111111111111111111001
+72 0 -7 0b11111111111111111111111111111001
+73 0 -7 0b11111111111111111111111111111001
+74 0 -7 0b11111111111111111111111111111001
+75 0 -7 0b11111111111111111111111111111001
+76 0 -7 0b11111111111111111111111111111001
+77 0 -7 0b11111111111111111111111111111001
+78 0 -7 0b11111111111111111111111111111001
+79 0 -7 0b11111111111111111111111111111001
+80 0 -7 0b11111111111111111111111111111001
+81 0 -7 0b11111111111111111111111111111001
+82 0 -7 0b11111111111111111111111111111001
+83 0 -7 0b11111111111111111111111111111001
+84 0 -7 0b11111111111111111111111111111001
+85 0 -7 0b11111111111111111111111111111001
+86 0 -7 0b11111111111111111111111111111001
+87 0 -7 0b11111111111111111111111111111001
+88 0 -7 0b11111111111111111111111111111001
+89 0 -7 0b11111111111111111111111111111001
+90 0 -7 0b11111111111111111111111111111001
+91 0 -7 0b11111111111111111111111111111001
+92 0 -7 0b11111111111111111111111111111001
+93 0 -7 0b11111111111111111111111111111001
+94 0 -7 0b11111111111111111111111111111001
+95 0 -7 0b11111111111111111111111111111001
+96 0 -7 0b11111111111111111111111111111001
+97 0 -7 0b11111111111111111111111111111001
+98 0 -7 0b11111111111111111111111111111001
+99 0 -7 0b11111111111111111111111111111001
+100 0 -7 0b11111111111111111111111111111001
+101 0 -7 0b11111111111111111111111111111001
+102 0 -7 0b11111111111111111111111111111001
+103 0 -7 0b11111111111111111111111111111001
+104 0 -7 0b11111111111111111111111111111001
+105 0 -7 0b11111111111111111111111111111001
+106 0 -7 0b11111111111111111111111111111001
+107 0 -7 0b11111111111111111111111111111001
+108 0 -7 0b11111111111111111111111111111001
+109 0 -7 0b11111111111111111111111111111001
+110 0 -7 0b11111111111111111111111111111001
+111 0 -7 0b11111111111111111111111111111001
+112 0 -7 0b11111111111111111111111111111001
+113 0 -7 0b11111111111111111111111111111001
+114 0 -7 0b11111111111111111111111111111001
+115 0 -7 0b11111111111111111111111111111001
+116 0 -7 0b11111111111111111111111111111001
+117 0 -7 0b11111111111111111111111111111001
+118 0 -7 0b11111111111111111111111111111001
+119 0 -7 0b11111111111111111111111111111001
+120 0 -7 0b11111111111111111111111111111001
+121 0 -7 0b11111111111111111111111111111001
+122 0 -7 0b11111111111111111111111111111001
+123 0 -7 0b11111111111111111111111111111001
+124 0 -7 0b11111111111111111111111111111001
+125 0 -7 0b11111111111111111111111111111001
+126 0 -7 0b11111111111111111111111111111001
+127 0 -7 0b11111111111111111111111111111001
+128 0 -7 0b11111111111111111111111111111001
+129 0 -7 0b11111111111111111111111111111001
+130 0 -7 0b11111111111111111111111111111001
+131 0 -7 0b11111111111111111111111111111001
+132 0 -7 0b11111111111111111111111111111001
+133 0 -7 0b11111111111111111111111111111001
+134 0 -7 0b11111111111111111111111111111001
+135 0 -7 0b11111111111111111111111111111001
+136 0 -7 0b11111111111111111111111111111001
+137 0 -7 0b11111111111111111111111111111001
+138 0 -7 0b11111111111111111111111111111001
+139 0 -7 0b11111111111111111111111111111001
+140 0 -7 0b11111111111111111111111111111001
+141 0 -7 0b11111111111111111111111111111001
+142 0 -7 0b11111111111111111111111111111001
+143 0 -7 0b11111111111111111111111111111001
+144 0 -7 0b11111111111111111111111111111001
+145 0 -7 0b11111111111111111111111111111001
+146 0 -7 0b11111111111111111111111111111001
+147 0 -7 0b11111111111111111111111111111001
+148 0 -7 0b11111111111111111111111111111001
+149 0 -7 0b11111111111111111111111111111001
+150 0 -7 0b11111111111111111111111111111001
+151 0 -7 0b11111111111111111111111111111001
+152 0 -7 0b11111111111111111111111111111001
+153 0 -7 0b11111111111111111111111111111001
+154 0 -7 0b11111111111111111111111111111001
+155 0 -7 0b11111111111111111111111111111001
+156 0 -7 0b11111111111111111111111111111001
+157 0 -7 0b11111111111111111111111111111001
+158 0 -7 0b11111111111111111111111111111001
+159 0 -7 0b11111111111111111111111111111001
+160 0 -7 0b11111111111111111111111111111001
+161 0 -7 0b11111111111111111111111111111001
+162 0 -7 0b11111111111111111111111111111001
+163 0 -7 0b11111111111111111111111111111001
+164 0 -7 0b11111111111111111111111111111001
+165 0 -7 0b11111111111111111111111111111001
+166 0 -7 0b11111111111111111111111111111001
+167 0 -7 0b11111111111111111111111111111001
+168 0 -7 0b11111111111111111111111111111001
+169 0 -7 0b11111111111111111111111111111001
+170 0 -7 0b11111111111111111111111111111001
+171 0 -7 0b11111111111111111111111111111001
+172 0 -7 0b11111111111111111111111111111001
+173 0 -7 0b11111111111111111111111111111001
+174 0 -7 0b11111111111111111111111111111001
+175 0 -7 0b11111111111111111111111111111001
+176 0 -7 0b11111111111111111111111111111001
+177 0 -7 0b11111111111111111111111111111001
+178 0 -7 0b11111111111111111111111111111001
+179 0 -7 0b11111111111111111111111111111001
+180 0 -7 0b11111111111111111111111111111001
+181 0 -7 0b11111111111111111111111111111001
+182 0 -7 0b11111111111111111111111111111001
+183 0 -7 0b11111111111111111111111111111001
+184 0 -7 0b11111111111111111111111111111001
+185 0 -7 0b11111111111111111111111111111001
+186 0 -7 0b11111111111111111111111111111001
+187 0 -7 0b11111111111111111111111111111001
+188 0 -7 0b11111111111111111111111111111001
+189 0 -7 0b11111111111111111111111111111001
+190 0 -7 0b11111111111111111111111111111001
+191 0 -7 0b11111111111111111111111111111001
+192 0 -7 0b11111111111111111111111111111001
+193 0 -7 0b11111111111111111111111111111001
+194 0 -7 0b11111111111111111111111111111001
+195 0 -7 0b11111111111111111111111111111001
+196 0 -7 0b11111111111111111111111111111001
+197 0 -7 0b11111111111111111111111111111001
+198 0 -7 0b11111111111111111111111111111001
+199 0 -7 0b11111111111111111111111111111001
+200 0 -7 0b11111111111111111111111111111001
+201 0 -7 0b11111111111111111111111111111001
+202 0 -7 0b11111111111111111111111111111001
+203 0 -7 0b11111111111111111111111111111001
+204 0 -7 0b11111111111111111111111111111001
+205 0 -7 0b11111111111111111111111111111001
+206 0 -7 0b11111111111111111111111111111001
+207 0 -7 0b11111111111111111111111111111001
+208 0 -7 0b11111111111111111111111111111001
+209 0 -7 0b11111111111111111111111111111001
+210 0 -7 0b11111111111111111111111111111001
+211 0 -7 0b11111111111111111111111111111001
+212 0 -7 0b11111111111111111111111111111001
+213 0 -7 0b11111111111111111111111111111001
+214 0 -7 0b11111111111111111111111111111001
+215 0 -7 0b11111111111111111111111111111001
+216 0 -7 0b11111111111111111111111111111001
+217 0 -7 0b11111111111111111111111111111001
+218 0 -7 0b11111111111111111111111111111001
+219 0 -7 0b11111111111111111111111111111001
+220 0 -7 0b11111111111111111111111111111001
+221 0 -7 0b11111111111111111111111111111001
+222 0 -7 0b11111111111111111111111111111001
+223 0 -7 0b11111111111111111111111111111001
+224 0 -7 0b11111111111111111111111111111001
+225 0 -7 0b11111111111111111111111111111001
+226 0 -7 0b11111111111111111111111111111001
+227 0 -7 0b11111111111111111111111111111001
+228 0 -7 0b11111111111111111111111111111001
+229 0 -7 0b11111111111111111111111111111001
+230 0 -7 0b11111111111111111111111111111001
+231 0 -7 0b11111111111111111111111111111001
+232 0 -7 0b11111111111111111111111111111001
+233 0 -7 0b11111111111111111111111111111001
+234 0 -7 0b11111111111111111111111111111001
+235 0 -7 0b11111111111111111111111111111001
+236 0 -7 0b11111111111111111111111111111001
+237 0 -7 0b11111111111111111111111111111001
+238 0 -7 0b11111111111111111111111111111001
+239 0 -7 0b11111111111111111111111111111001
+240 0 -7 0b11111111111111111111111111111001
+241 0 -7 0b11111111111111111111111111111001
+242 0 -7 0b11111111111111111111111111111001
+243 0 -7 0b11111111111111111111111111111001
+244 0 -7 0b11111111111111111111111111111001
+245 0 -7 0b11111111111111111111111111111001
+246 0 -7 0b11111111111111111111111111111001
+247 0 -7 0b11111111111111111111111111111001
+248 0 -7 0b11111111111111111111111111111001
+249 0 -7 0b11111111111111111111111111111001
+250 0 -7 0b11111111111111111111111111111001
+251 0 -7 0b11111111111111111111111111111001
+252 0 -7 0b11111111111111111111111111111001
+253 0 -7 0b11111111111111111111111111111001
+254 0 -7 0b11111111111111111111111111111001
+255 0 -7 0b11111111111111111111111111111001
+256 0 -7 0b11111111111111111111111111111001
+257 0 -7 0b11111111111111111111111111111001
+258 0 -7 0b11111111111111111111111111111001
+259 0 -7 0b11111111111111111111111111111001
+260 0 -7 0b11111111111111111111111111111001
+261 0 -7 0b11111111111111111111111111111001
+262 0 -7 0b11111111111111111111111111111001
+263 0 -7 0b11111111111111111111111111111001
+264 0 -7 0b11111111111111111111111111111001
+265 0 -7 0b11111111111111111111111111111001
+266 0 -7 0b11111111111111111111111111111001
+267 0 -7 0b11111111111111111111111111111001
+268 0 -7 0b11111111111111111111111111111001
+269 0 -7 0b11111111111111111111111111111001
+270 0 -7 0b11111111111111111111111111111001
+271 0 -7 0b11111111111111111111111111111001
+272 0 -7 0b11111111111111111111111111111001
+273 0 -7 0b11111111111111111111111111111001
+274 0 -7 0b11111111111111111111111111111001
+275 0 -7 0b11111111111111111111111111111001
+276 0 -7 0b11111111111111111111111111111001
+277 0 -7 0b11111111111111111111111111111001
+278 0 -7 0b11111111111111111111111111111001
+279 0 -7 0b11111111111111111111111111111001
+280 0 -7 0b11111111111111111111111111111001
+281 0 -7 0b11111111111111111111111111111001
+282 0 -7 0b11111111111111111111111111111001
+283 0 -7 0b11111111111111111111111111111001
+284 0 -7 0b11111111111111111111111111111001
+285 0 -7 0b11111111111111111111111111111001
+286 0 -7 0b11111111111111111111111111111001
+287 0 -7 0b11111111111111111111111111111001
+288 0 -7 0b11111111111111111111111111111001
+289 0 -7 0b11111111111111111111111111111001
+290 0 -7 0b11111111111111111111111111111001
+291 0 -7 0b11111111111111111111111111111001
+292 0 -7 0b11111111111111111111111111111001
+293 0 -7 0b11111111111111111111111111111001
+294 0 -7 0b11111111111111111111111111111001
+295 0 -7 0b11111111111111111111111111111001
+296 0 -7 0b11111111111111111111111111111001
+297 0 -7 0b11111111111111111111111111111001
+298 0 -7 0b11111111111111111111111111111001
+299 0 -7 0b11111111111111111111111111111001
+0 8 9.0625 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001.000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 16 25.0938 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001.000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 32 57.1094 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001.000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 64 121.117 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001.000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 128 249.121 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001.000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 256 505.123 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111001.000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 512 1017.12 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001.000111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 1024 2041.12 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111001.000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 2048 4089.12 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111001.000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 4096 8185.12 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111001.000111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 8192 16377.1 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111001.000111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 16384 32761.1 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111001.000111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 32768 65529.1 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111001.000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 65536 131065 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111001.000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 131072 262137 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111001.000111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 262144 524281 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111001.000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 524288 1.04857e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111001.000111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 1.04858e+006 2.09715e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111001.000111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 2.09715e+006 4.1943e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111001.000111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 4.1943e+006 8.3886e+006 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111001.000111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 8.38861e+006 1.67772e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111001.000111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 1.67772e+007 3.35544e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111001.000111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 3.35544e+007 6.71089e+007 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111001.000111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 6.71089e+007 1.34218e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111001.000111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 1.34218e+008 2.68435e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111001.000111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 2.68435e+008 5.36871e+008 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111001.000111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 5.36871e+008 1.07374e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111001.000111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 1.07374e+009 2.14748e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111001.000111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 2.14748e+009 4.29497e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111001.000111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 4.29497e+009 8.58993e+009 0b000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111001.000111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 8.58993e+009 1.71799e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111001.000111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000
+31 1.71799e+010 3.43597e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111001.000111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000
+32 3.43597e+010 6.87195e+010 0b000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111001.000111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000
+33 6.87195e+010 1.37439e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111001.000111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000
+34 1.37439e+011 2.74878e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111001.000111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000
+35 2.74878e+011 5.49756e+011 0b000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111001.000111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000
+36 5.49756e+011 1.09951e+012 0b000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111001.000111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000
+37 1.09951e+012 2.19902e+012 0b000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111001.000111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000
+38 2.19902e+012 4.39805e+012 0b000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111001.000111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000
+39 4.39805e+012 8.79609e+012 0b000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111001.000111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000
+40 8.79609e+012 1.75922e+013 0b000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111001.000111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000
+41 1.75922e+013 3.51844e+013 0b000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000
+42 3.51844e+013 7.03687e+013 0b000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000
+43 7.03687e+013 1.40737e+014 0b000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000
+44 1.40737e+014 2.81475e+014 0b000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000
+45 2.81475e+014 5.6295e+014 0b000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000
+46 5.6295e+014 1.1259e+015 0b000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000
+47 1.1259e+015 2.2518e+015 0b000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000
+48 2.2518e+015 4.5036e+015 0b000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000
+49 4.5036e+015 9.0072e+015 0b000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000
+50 9.0072e+015 1.80144e+016 0b000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000
+51 1.80144e+016 3.60288e+016 0b000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000
+52 3.60288e+016 7.20576e+016 0b000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000
+53 7.20576e+016 1.44115e+017 0b000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000
+54 1.44115e+017 2.8823e+017 0b000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000
+55 2.8823e+017 5.76461e+017 0b000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000
+56 5.76461e+017 1.15292e+018 0b000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000
+57 1.15292e+018 2.30584e+018 0b000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000
+58 2.30584e+018 4.61169e+018 0b000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000
+59 4.61169e+018 9.22337e+018 0b000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000
+60 9.22337e+018 1.84467e+019 0b000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000
+61 1.84467e+019 3.68935e+019 0b000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000
+62 3.68935e+019 7.3787e+019 0b000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000
+63 7.3787e+019 1.47574e+020 0b000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000
+64 1.47574e+020 2.95148e+020 0b000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000
+65 2.95148e+020 5.90296e+020 0b000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000
+66 5.90296e+020 1.18059e+021 0b000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000
+67 1.18059e+021 2.36118e+021 0b000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000
+68 2.36118e+021 4.72237e+021 0b000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000
+69 4.72237e+021 9.44473e+021 0b000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000
+70 9.44473e+021 1.88895e+022 0b000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000
+71 1.88895e+022 3.77789e+022 0b000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000
+72 3.77789e+022 7.55579e+022 0b000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000
+73 7.55579e+022 1.51116e+023 0b000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000
+74 1.51116e+023 3.02231e+023 0b000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000
+75 3.02231e+023 6.04463e+023 0b000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000
+76 6.04463e+023 1.20893e+024 0b000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000
+77 1.20893e+024 2.41785e+024 0b000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000
+78 2.41785e+024 4.8357e+024 0b000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000
+79 4.8357e+024 9.67141e+024 0b000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000
+80 9.67141e+024 1.93428e+025 0b000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000
+81 1.93428e+025 3.86856e+025 0b000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000
+82 3.86856e+025 7.73713e+025 0b000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000
+83 7.73713e+025 1.54743e+026 0b000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000
+84 1.54743e+026 3.09485e+026 0b000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000
+85 3.09485e+026 6.1897e+026 0b000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000
+86 6.1897e+026 1.23794e+027 0b000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000
+87 1.23794e+027 2.47588e+027 0b000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000
+88 2.47588e+027 4.95176e+027 0b000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000
+89 4.95176e+027 9.90352e+027 0b000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000
+90 9.90352e+027 1.9807e+028 0b000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000
+91 1.9807e+028 3.96141e+028 0b000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000
+92 3.96141e+028 7.92282e+028 0b000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000
+93 7.92282e+028 1.58456e+029 0b000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000
+94 1.58456e+029 3.16913e+029 0b000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000
+95 3.16913e+029 6.33825e+029 0b000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000
+96 6.33825e+029 1.26765e+030 0b000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000
+97 1.26765e+030 2.5353e+030 0b000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000
+98 2.5353e+030 5.0706e+030 0b000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000
+99 5.0706e+030 1.01412e+031 0b000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000
+100 1.01412e+031 2.02824e+031 0b000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000
+101 2.02824e+031 4.05648e+031 0b000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000
+102 4.05648e+031 8.11296e+031 0b000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000
+103 8.11296e+031 1.62259e+032 0b000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000
+104 1.62259e+032 3.24519e+032 0b000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000
+105 3.24519e+032 6.49037e+032 0b001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100
+106 6.49037e+032 1.29807e+033 0b011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110
+107 -1.29807e+033 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+1 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+2 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+3 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+4 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+5 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+6 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+7 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+8 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+9 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+10 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+11 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+12 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+13 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+14 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+15 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+16 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+17 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+18 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+19 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+20 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+21 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+22 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+23 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+24 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+25 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+26 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+27 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+28 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+29 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+30 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+31 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+32 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+33 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+34 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+35 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+36 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+37 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+38 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+39 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+40 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+41 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+42 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+43 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+44 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+45 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+46 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+47 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+48 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+49 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+50 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+51 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+52 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+53 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+54 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+55 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+56 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+57 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+58 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+59 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+60 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+61 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+62 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+63 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+64 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+65 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+66 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+67 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+68 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+69 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+70 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+71 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+72 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+73 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+74 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+75 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+76 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+77 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 -6.875 0b111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 8 9.0625 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001.000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+1 16 25.0938 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001.000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+2 32 57.1094 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001.000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+3 64 121.117 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001.000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+4 128 249.121 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001.000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+5 256 505.123 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111001.000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+6 512 1017.12 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001.000111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+7 1024 2041.12 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111001.000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+8 2048 4089.12 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111001.000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+9 4096 8185.12 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111001.000111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+10 8192 16377.1 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111001.000111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+11 16384 32761.1 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111001.000111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+12 32768 65529.1 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111001.000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+13 65536 131065 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111001.000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+14 131072 262137 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111001.000111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+15 262144 524281 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111001.000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+16 524288 1.04857e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111001.000111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+17 1.04858e+006 2.09715e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111001.000111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+18 2.09715e+006 4.1943e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111001.000111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+19 4.1943e+006 8.3886e+006 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111001.000111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+20 8.38861e+006 1.67772e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111001.000111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+21 1.67772e+007 3.35544e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111001.000111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+22 3.35544e+007 6.71089e+007 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111001.000111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+23 6.71089e+007 1.34218e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111001.000111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000
+24 1.34218e+008 2.68435e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111001.000111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000
+25 2.68435e+008 5.36871e+008 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111001.000111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000
+26 5.36871e+008 1.07374e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111001.000111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000
+27 1.07374e+009 2.14748e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111001.000111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000
+28 2.14748e+009 4.29497e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111001.000111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000
+29 4.29497e+009 8.58993e+009 0b0000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111001.000111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000
+30 8.58993e+009 1.71799e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111001.000111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000
+31 1.71799e+010 3.43597e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111001.000111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000
+32 3.43597e+010 6.87195e+010 0b0000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111001.000111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000
+33 6.87195e+010 1.37439e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111001.000111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000
+34 1.37439e+011 2.74878e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111001.000111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000
+35 2.74878e+011 5.49756e+011 0b0000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111001.000111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000
+36 5.49756e+011 1.09951e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111001.000111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000
+37 1.09951e+012 2.19902e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111001.000111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000
+38 2.19902e+012 4.39805e+012 0b0000000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111001.000111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000
+39 4.39805e+012 8.79609e+012 0b0000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111001.000111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000
+40 8.79609e+012 1.75922e+013 0b0000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111001.000111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000
+41 1.75922e+013 3.51844e+013 0b0000000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000
+42 3.51844e+013 7.03687e+013 0b0000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000000
+43 7.03687e+013 1.40737e+014 0b0000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000
+44 1.40737e+014 2.81475e+014 0b0000000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000000
+45 2.81475e+014 5.6295e+014 0b0000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000000
+46 5.6295e+014 1.1259e+015 0b0000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000
+47 1.1259e+015 2.2518e+015 0b0000000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000000
+48 2.2518e+015 4.5036e+015 0b0000000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000
+49 4.5036e+015 9.0072e+015 0b0000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000
+50 9.0072e+015 1.80144e+016 0b0000000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000000
+51 1.80144e+016 3.60288e+016 0b0000000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000
+52 3.60288e+016 7.20576e+016 0b0000000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000000
+53 7.20576e+016 1.44115e+017 0b0000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000000
+54 1.44115e+017 2.8823e+017 0b0000000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000
+55 2.8823e+017 5.76461e+017 0b0000000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000000
+56 5.76461e+017 1.15292e+018 0b0000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000000
+57 1.15292e+018 2.30584e+018 0b0000000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000
+58 2.30584e+018 4.61169e+018 0b0000000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000000
+59 4.61169e+018 9.22337e+018 0b0000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000000
+60 9.22337e+018 1.84467e+019 0b0000000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000
+61 1.84467e+019 3.68935e+019 0b0000000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000000
+62 3.68935e+019 7.3787e+019 0b0000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000000
+63 7.3787e+019 1.47574e+020 0b0000000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000
+64 1.47574e+020 2.95148e+020 0b0000000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000000
+65 2.95148e+020 5.90296e+020 0b0000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000
+66 5.90296e+020 1.18059e+021 0b0000000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000
+67 1.18059e+021 2.36118e+021 0b0000000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000000
+68 2.36118e+021 4.72237e+021 0b0000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000
+69 4.72237e+021 9.44473e+021 0b0000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000
+70 9.44473e+021 1.88895e+022 0b0000000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000000
+71 1.88895e+022 3.77789e+022 0b0000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000
+72 3.77789e+022 7.55579e+022 0b0000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000
+73 7.55579e+022 1.51116e+023 0b0000000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000000
+74 1.51116e+023 3.02231e+023 0b0000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000
+75 3.02231e+023 6.04463e+023 0b0000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000
+76 6.04463e+023 1.20893e+024 0b0000000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000
+77 1.20893e+024 2.41785e+024 0b0000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000
+78 2.41785e+024 4.8357e+024 0b0000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000
+79 4.8357e+024 9.67141e+024 0b0000000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000
+80 9.67141e+024 1.93428e+025 0b0000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000
+81 1.93428e+025 3.86856e+025 0b0000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000
+82 3.86856e+025 7.73713e+025 0b0000000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000000
+83 7.73713e+025 1.54743e+026 0b0000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000
+84 1.54743e+026 3.09485e+026 0b0000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000000
+85 3.09485e+026 6.1897e+026 0b0000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000000
+86 6.1897e+026 1.23794e+027 0b0000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000
+87 1.23794e+027 2.47588e+027 0b0000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000000
+88 2.47588e+027 4.95176e+027 0b0000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000000
+89 4.95176e+027 9.90352e+027 0b0000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000
+90 9.90352e+027 1.9807e+028 0b0000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000000
+91 1.9807e+028 3.96141e+028 0b0000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000000
+92 3.96141e+028 7.92282e+028 0b0000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000
+93 7.92282e+028 1.58456e+029 0b0000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000000
+94 1.58456e+029 3.16913e+029 0b0000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000000
+95 3.16913e+029 6.33825e+029 0b0000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000
+96 6.33825e+029 1.26765e+030 0b0000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000000
+97 1.26765e+030 2.5353e+030 0b0000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000000
+98 2.5353e+030 5.0706e+030 0b0000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000
+99 5.0706e+030 1.01412e+031 0b0000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000000
+100 1.01412e+031 2.02824e+031 0b0000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000000
+101 2.02824e+031 4.05648e+031 0b0000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000
+102 4.05648e+031 8.11296e+031 0b0000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000
+103 8.11296e+031 1.62259e+032 0b0000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110000
+104 1.62259e+032 3.24519e+032 0b0000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000
+105 3.24519e+032 6.49037e+032 0b0001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100
+106 6.49037e+032 1.29807e+033 0b0011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110
+107 1.29807e+033 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+0 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+1 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+2 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+3 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+4 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+5 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+6 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+7 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+8 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+9 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+10 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+11 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+12 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+13 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+14 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+15 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+16 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+17 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+18 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+19 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+20 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+21 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+22 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+23 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+24 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+25 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+26 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+27 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+28 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+29 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+30 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+31 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+32 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+33 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+34 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+35 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+36 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+37 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+38 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+39 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+40 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+41 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+42 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+43 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+44 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+45 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+46 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+47 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+48 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+49 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+50 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+51 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+52 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+53 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+54 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+55 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+56 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+57 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+58 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+59 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+60 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+61 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+62 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+63 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+64 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+65 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+66 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+67 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+68 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+69 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+70 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+71 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+72 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+73 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+74 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+75 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+76 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+77 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+78 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+79 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+80 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+81 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+82 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+83 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+84 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+85 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+86 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+87 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+88 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+89 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+90 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+91 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+92 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+93 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+94 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+95 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+96 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+97 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+98 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+99 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+100 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+101 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+102 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+103 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+104 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+105 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+106 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+107 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+108 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+109 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+110 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+111 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+112 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+113 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+114 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+115 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+116 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+117 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+118 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+119 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+120 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+121 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+122 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+123 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+124 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+125 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+126 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+127 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+128 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+129 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+130 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+131 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+132 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+133 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+134 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+135 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+136 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+137 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+138 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+139 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+140 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+141 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+142 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+143 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+144 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+145 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+146 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+147 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+148 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+149 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+150 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+151 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+152 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+153 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+154 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+155 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+156 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+157 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+158 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+159 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+160 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+161 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+162 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+163 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+164 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+165 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+166 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+167 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+168 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+169 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+170 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+171 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+172 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+173 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+174 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+175 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+176 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+177 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+178 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+179 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+180 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+181 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+182 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+183 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+184 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+185 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+186 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+187 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+188 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+189 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+190 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+191 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+192 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+193 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+194 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+195 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+196 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+197 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+198 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+199 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+200 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+201 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+202 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+203 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+204 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+205 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+206 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+207 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+208 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+209 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+210 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+211 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+212 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+213 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+214 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+215 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+216 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+217 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+218 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+219 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+220 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+221 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+222 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+223 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+224 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+225 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+226 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+227 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+228 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+229 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+230 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+231 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+232 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+233 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+234 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+235 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+236 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+237 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+238 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+239 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+240 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+241 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+242 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+243 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+244 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+245 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+246 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+247 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+248 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+249 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+250 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+251 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+252 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+253 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+254 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+255 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+256 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+257 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+258 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+259 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+260 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+261 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+262 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+263 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+264 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+265 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+266 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+267 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+268 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+269 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+270 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+271 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+272 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+273 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+274 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+275 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+276 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+277 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+278 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+279 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+280 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+281 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+282 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+283 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+284 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+285 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+286 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+287 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+288 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+289 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+290 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+291 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+292 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+293 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+294 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+295 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+296 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+297 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+298 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+299 0 2.59615e+033 0b0111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111001.000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111