summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/datatypes/int/sc_signed/part_select')
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/golden/test01.log5
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/test01.cpp13
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/golden/test02.log3
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/test02.cpp13
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/golden/test03.log7
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/test03.cpp71
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/golden/test04.log5
-rw-r--r--src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/test04.cpp60
8 files changed, 177 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/golden/test01.log b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/golden/test01.log
new file mode 100644
index 000000000..c5f034b66
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/golden/test01.log
@@ -0,0 +1,5 @@
+SystemC Simulation
+
+Error: (E5) out of bounds: sc_bigint part selection: left = 3, right = 2
+ violates either (2 >= left >= 0) or (2 >= right >= 0)
+In file: <removed by verify.pl>
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/test01.cpp b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/test01.cpp
new file mode 100644
index 000000000..f73acc6ac
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test01/test01.cpp
@@ -0,0 +1,13 @@
+#define SC_INCLUDE_FX
+
+#include "systemc.h"
+int sc_main(int argc, char** argv)
+{
+ sc_bigint<3> big;
+
+ cout << big(3,2) << endl;
+
+ cout << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/golden/test02.log b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/golden/test02.log
new file mode 100644
index 000000000..7d77fe55e
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/golden/test02.log
@@ -0,0 +1,3 @@
+SystemC Simulation
+0
+Program completed
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/test02.cpp b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/test02.cpp
new file mode 100644
index 000000000..7a53a2ef3
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test02/test02.cpp
@@ -0,0 +1,13 @@
+#define SC_INCLUDE_FX
+
+#include "systemc.h"
+int sc_main(int argc, char** argv)
+{
+ sc_bigint<3> big;
+
+ cout << big(1,2) << endl;
+
+ cout << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/golden/test03.log b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/golden/test03.log
new file mode 100644
index 000000000..fd3a70e1a
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/golden/test03.log
@@ -0,0 +1,7 @@
+SystemC Simulation
+0021 0021
+0021 0021
+21 21
+0021
+00021
+00021
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/test03.cpp b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/test03.cpp
new file mode 100644
index 000000000..abb4b033f
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test03/test03.cpp
@@ -0,0 +1,71 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test03 -- test for unsigned data values
+
+ Original Author:
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+
+int sc_main(int argc, char** argv) {
+ sc_int<16> a, tmp;
+ sc_bigint<16> biga, bigtmp;
+ sc_biguint<6> bigu6;
+ sc_biguint<16> bigu16;
+
+ a = 97; // 0b1100001
+ biga = 97;
+ bigu6 = 97;
+
+ tmp = a.range( 5,0 ); // results in 33 - 0b100001, not sign extended
+ bigtmp = biga.range( 5,0 ); // results in 65505, sign extended
+ cout << hex << tmp << " " << bigtmp << endl;
+
+ tmp = biga.range( 5,0 ); // results in 33 - 0b100001, not sign extended
+ bigtmp = a.range( 5,0 ); // results in 65505, sign extended
+ cout << hex << tmp << " " << bigtmp << endl;
+
+ cout << hex << a.range(5,0) << " " << biga.range(5,0) << endl;
+
+ bigtmp = bigu6;
+ cout << hex << bigtmp << endl;
+
+ bigu16 = biga.range(5,0);
+ cout << bigu16 << endl;
+
+ bigu16 = a.range(5,0);
+ cout << hex << bigu16 << endl;
+
+ sc_start(1, SC_NS);
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/golden/test04.log b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/golden/test04.log
new file mode 100644
index 000000000..5905e23ba
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/golden/test04.log
@@ -0,0 +1,5 @@
+SystemC Simulation
+1234fa78
+12340a78
+12340a78
+Program completed
diff --git a/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/test04.cpp b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/test04.cpp
new file mode 100644
index 000000000..6a595ace1
--- /dev/null
+++ b/src/systemc/tests/systemc/datatypes/int/sc_signed/part_select/test04/test04.cpp
@@ -0,0 +1,60 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test04.cpp -- test sign extension in part select assignments.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 29 April 2008
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+#define TERM(EXPR) cout << #EXPR << " " << hex << (EXPR) << endl
+
+
+int sc_main(int argc, char* argv[])
+{
+ sc_bigint<31> a;
+ sc_bigint<4> b = 0xa;
+ sc_bigint<5> c = 0x0a;
+ sc_biguint<4> d = 0x0a;
+ a = 0x12345678;
+ a.range(15,8) = b;
+ cout << hex << a << endl;
+ a = 0x12345678;
+ a.range(15,8) = c;
+ cout << hex << a << endl;
+ a = 0x12345678;
+ a.range(15,8) = d;
+ cout << hex << a << endl;
+
+ cout << "Program completed" << endl;
+ return 0;
+}