summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/kernel/dynamic_processes/sc_join')
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/sc_join.log0
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/test01.log10
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/test01.cpp84
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/golden/test02.log10
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/test02.cpp85
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/golden/test03.log86
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/test03.cpp96
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/golden/test04.log11
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/test04.cpp92
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/golden/test05.log10
-rw-r--r--src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/test05.cpp83
11 files changed, 567 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/sc_join.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/sc_join.log
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/sc_join.log
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/test01.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/test01.log
new file mode 100644
index 000000000..a7237caa7
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/golden/test01.log
@@ -0,0 +1,10 @@
+SystemC Simulation
+2 ns: sync(3) terminating
+3 ns: sync(4) terminating
+4 ns: sync(5) terminating
+4 ns: sync(5) terminating
+6 ns: sync(7) terminating
+10 ns: sync(11) terminating
+20 ns: sync(21) terminating
+20 ns: waiting waking
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/test01.cpp b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/test01.cpp
new file mode 100644
index 000000000..f82344bb4
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test01/test01.cpp
@@ -0,0 +1,84 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test01.cpp -- Test using sc_join as barrier mechanism.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 10 October 2004
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+#include "sysc/kernel/sc_dynamic_processes.h"
+
+SC_MODULE(X)
+{
+ SC_CTOR(X)
+ {
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 3 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 4 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 5 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 5 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 7 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 11 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 21 ) ) );
+
+ SC_THREAD(waiting);
+ }
+ void sync(int context)
+ {
+ for ( int i = 0; i < context; i++ )
+ {
+ wait(m_clk.posedge_event());
+ }
+ cout << sc_time_stamp() << ": sync(" << context << ") terminating" << endl;
+ }
+ void waiting()
+ {
+ m_join.wait();
+ cout << sc_time_stamp() << ": waiting waking" << endl;
+ }
+
+ sc_in_clk m_clk;
+ sc_join m_join;
+};
+
+int sc_main( int argc, char* argv[] )
+{
+ sc_clock clock;
+ X x("x");
+ x.m_clk(clock);
+
+ sc_start(1000, SC_NS);
+
+ cerr << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/golden/test02.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/golden/test02.log
new file mode 100644
index 000000000..a7237caa7
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/golden/test02.log
@@ -0,0 +1,10 @@
+SystemC Simulation
+2 ns: sync(3) terminating
+3 ns: sync(4) terminating
+4 ns: sync(5) terminating
+4 ns: sync(5) terminating
+6 ns: sync(7) terminating
+10 ns: sync(11) terminating
+20 ns: sync(21) terminating
+20 ns: waiting waking
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/test02.cpp b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/test02.cpp
new file mode 100644
index 000000000..8eba90a5e
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test02/test02.cpp
@@ -0,0 +1,85 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test02.cpp -- Test SC_FORK and SC_JOIN macros.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 10 October 2004
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+#include "sysc/kernel/sc_dynamic_processes.h"
+
+SC_MODULE(X)
+{
+ SC_CTOR(X)
+ {
+
+ SC_THREAD(waiting);
+ }
+ void sync(int context)
+ {
+ for ( int i = 0; i < context; i++ )
+ {
+ wait(m_clk.posedge_event());
+ }
+ cout << sc_time_stamp() << ": sync(" << context << ") terminating"<< endl;
+ }
+ void waiting()
+ {
+ SC_FORK
+ sc_spawn( sc_bind( &X::sync, this, 3 ) ),
+ sc_spawn( sc_bind( &X::sync, this, 4 ) ),
+ sc_spawn( sc_bind( &X::sync, this, 5 ) ),
+ sc_spawn( sc_bind( &X::sync, this, 5 ) ),
+ sc_spawn( sc_bind( &X::sync, this, 7 ) ),
+ sc_spawn( sc_bind( &X::sync, this, 11) ),
+ sc_spawn( sc_bind( &X::sync, this, 21) )
+ SC_JOIN
+ cout << sc_time_stamp() << ": waiting waking" << endl;
+ }
+
+ sc_in_clk m_clk;
+ sc_join m_join;
+};
+
+int sc_main( int argc, char* argv[] )
+{
+ sc_clock clock;
+ X x("x");
+ x.m_clk(clock);
+
+ sc_start(1000, SC_NS);
+
+ cerr << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/golden/test03.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/golden/test03.log
new file mode 100644
index 000000000..68285dfd4
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/golden/test03.log
@@ -0,0 +1,86 @@
+SystemC Simulation
+Time Spawn Start Stop
+----- ----- ----- ----
+0 s 0
+0 s 0
+1 ns 1
+1 ns 1
+3 ns 2
+3 ns 2
+5 ns 0
+5 ns 3
+5 ns 3
+7 ns 1
+7 ns 4
+7 ns 4
+9 ns 2
+9 ns 5
+9 ns 5
+11 ns 3
+11 ns 6
+11 ns 6
+13 ns 4
+13 ns 7
+13 ns 7
+15 ns 5
+15 ns waiting for termination of 2 processes
+17 ns 6
+19 ns 7
+19 ns back from termination wait
+Time Spawn Start Stop
+----- ----- ----- ----
+19 ns 8
+19 ns 8
+21 ns 9
+21 ns 9
+23 ns 10
+23 ns 10
+25 ns 8
+25 ns 11
+25 ns 11
+27 ns 9
+27 ns 12
+27 ns 12
+29 ns 10
+29 ns 13
+29 ns 13
+31 ns 11
+31 ns 14
+31 ns 14
+33 ns 12
+33 ns 15
+33 ns 15
+35 ns 13
+35 ns waiting for termination of 2 processes
+37 ns 14
+39 ns 15
+39 ns back from termination wait
+Time Spawn Start Stop
+----- ----- ----- ----
+39 ns 16
+39 ns 16
+41 ns 17
+41 ns 17
+43 ns 18
+43 ns 18
+45 ns 16
+45 ns 19
+45 ns 19
+47 ns 17
+47 ns 20
+47 ns 20
+49 ns 18
+49 ns 21
+49 ns 21
+51 ns 19
+51 ns 22
+51 ns 22
+53 ns 20
+53 ns 23
+53 ns 23
+55 ns 21
+55 ns waiting for termination of 2 processes
+57 ns 22
+59 ns 23
+59 ns back from termination wait
+Program completed.
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/test03.cpp b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/test03.cpp
new file mode 100644
index 000000000..d783150e3
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test03/test03.cpp
@@ -0,0 +1,96 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test03.cpp -- Test sc_join as gating mechanism for a process awaiting the
+ demise of its child processes.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 18 April 2005
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#define SC_INCLUDE_DYNAMIC_PROCESSES
+#include "systemc.h"
+
+SC_MODULE(TB)
+{
+ SC_CTOR(TB)
+ {
+ SC_THREAD(abc);
+ sensitive << m_clk.pos();
+ }
+ void abc()
+ {
+ for ( int i = 0; i < 3; i++ )
+ {
+ cout << "Time Spawn Start Stop " << endl;
+ cout << "----- ----- ----- ----" << endl;
+ int ii = 2;
+ int spawn_i;
+ int spawn_n = 8;
+ sc_spawn_options options;
+ sc_join join;
+ options.set_sensitivity(&m_clk.pos());
+ for ( spawn_i = 0; spawn_i < spawn_n; spawn_i++ )
+ {
+ int process_i = spawn_i + i * spawn_n;
+ cout << sc_time_stamp() << " " << process_i << endl;
+ join.add_process(sc_spawn(
+ sc_bind(&TB::process, this, sc_ref(process_i)),
+ sc_gen_unique_name("pipe"), &options ) );
+ sc_core::wait(ii);
+ }
+ cout << sc_time_stamp() << " waiting for termination of "
+ << join.process_count() << " processes" << endl;
+ join.wait();
+ cout << sc_time_stamp() << " back from termination wait " << endl;
+ }
+ }
+ void process( int& instance )
+ {
+ int i = instance;
+ cout << sc_time_stamp() << " " << i << endl;
+ wait(6);
+ cout << sc_time_stamp() << " " << i << endl;
+ }
+ sc_in<bool> m_clk;
+};
+
+
+int sc_main(int argc, char* argv[])
+{
+ sc_clock clock;
+ TB tb("tb");
+
+ tb.m_clk(clock);
+ sc_start(100, SC_NS);
+ cout << "Program completed." << endl;
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/golden/test04.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/golden/test04.log
new file mode 100644
index 000000000..0d1660025
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/golden/test04.log
@@ -0,0 +1,11 @@
+SystemC Simulation
+2 ns: sync(3) terminating
+3 ns: sync(4) terminating
+4 ns: sync(5) terminating
+4 ns: sync(5) terminating
+6 ns: sync(7) terminating
+10 ns: sync(11) terminating
+20 ns: sync(21) terminating
+20 ns: asynchronous wait waking
+21 ns: clocked wait waking
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/test04.cpp b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/test04.cpp
new file mode 100644
index 000000000..e9aa9efd0
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test04/test04.cpp
@@ -0,0 +1,92 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test04.cpp -- Test using sc_join as barrier mechanism with clocked and
+ asynchronous thread waits.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 10 October 2004
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+#include "sysc/kernel/sc_dynamic_processes.h"
+
+SC_MODULE(X)
+{
+ SC_CTOR(X)
+ {
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 3 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 4 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 5 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 5 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 7 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 11 ) ) );
+ m_join.add_process( sc_spawn( sc_bind(&X::sync, this, 21 ) ) );
+
+ SC_CTHREAD(cwaiting,m_clk.pos());
+ SC_THREAD(waiting);
+ }
+ void cwaiting()
+ {
+ m_join.wait_clocked();
+ cout << sc_time_stamp() << ": clocked wait waking" << endl;
+ }
+
+ void sync(int context)
+ {
+ for ( int i = 0; i < context; i++ )
+ {
+ wait(m_clk.posedge_event());
+ }
+ cout << sc_time_stamp() << ": sync(" << context << ") terminating" << endl;
+ }
+ void waiting()
+ {
+ m_join.wait();
+ cout << sc_time_stamp() << ": asynchronous wait waking" << endl;
+ }
+
+ sc_in_clk m_clk;
+ sc_join m_join;
+};
+
+int sc_main( int argc, char* argv[] )
+{
+ sc_clock clock;
+ X x("x");
+ x.m_clk(clock);
+
+ sc_start(1000, SC_NS);
+
+ cerr << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/golden/test05.log b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/golden/test05.log
new file mode 100644
index 000000000..13684b960
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/golden/test05.log
@@ -0,0 +1,10 @@
+SystemC Simulation
+3 ns: sync(3) terminating
+4 ns: sync(4) terminating
+5 ns: sync(5) terminating
+5 ns: sync(5) terminating
+7 ns: sync(7) terminating
+11 ns: sync(11) terminating
+21 ns: sync(21) terminating
+22 ns: clocked wait waking
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/test05.cpp b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/test05.cpp
new file mode 100644
index 000000000..9a7f0242d
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/dynamic_processes/sc_join/test05/test05.cpp
@@ -0,0 +1,83 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test05.cpp -- Test using SC_FORK and SC_CJOIN macros.
+
+ Original Author: Andy Goodrich, Forte Design Systems, 29 April 2004
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+#include "sysc/kernel/sc_dynamic_processes.h"
+
+SC_MODULE(X)
+{
+ SC_CTOR(X)
+ {
+ SC_CTHREAD(cwaiting,m_clk.pos());
+ }
+ void cwaiting()
+ {
+ SC_FORK
+ sc_spawn( sc_bind(&X::sync, this, 3 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 4 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 5 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 5 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 7 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 11 ) ) ,
+ sc_spawn( sc_bind(&X::sync, this, 21 ) )
+ SC_CJOIN
+ cout << sc_time_stamp() << ": clocked wait waking" << endl;
+ }
+
+ void sync(int context)
+ {
+ for ( int i = 0; i < context; i++ )
+ {
+ wait(m_clk.posedge_event());
+ }
+ cout << sc_time_stamp() << ": sync(" << context << ") terminating" << endl;
+ }
+ sc_in_clk m_clk;
+};
+
+int sc_main( int argc, char* argv[] )
+{
+ sc_clock clock;
+ X x("x");
+ x.m_clk(clock);
+
+ sc_start(1000, SC_NS);
+
+ cerr << "Program completed" << endl;
+ return 0;
+}
+