diff options
Diffstat (limited to 'src/systemc/tests/systemc/kernel/module_method_after_sc_start/module_method_after_sc_start.cpp')
-rw-r--r-- | src/systemc/tests/systemc/kernel/module_method_after_sc_start/module_method_after_sc_start.cpp | 40 |
1 files changed, 40 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/module_method_after_sc_start/module_method_after_sc_start.cpp b/src/systemc/tests/systemc/kernel/module_method_after_sc_start/module_method_after_sc_start.cpp new file mode 100644 index 000000000..d39696b46 --- /dev/null +++ b/src/systemc/tests/systemc/kernel/module_method_after_sc_start/module_method_after_sc_start.cpp @@ -0,0 +1,40 @@ +#include "systemc.h" + +SC_MODULE(Y) +{ +public: + sc_in<bool> in; + SC_CTOR(Y) : in("in") + { + SC_METHOD(comb); + sensitive << in; + init = 1; + } + int init; + void comb() + { + if ( init ) + { + init = 0; + SC_METHOD(dork); + } + } + void dork() + { + cout << "dork" << endl; + } +}; + +int sc_main(int argc, char* arg[]) +{ + sc_clock clock; + Y y("y"); + y.in(clock); + + + sc_start(10, SC_NS); + cerr << "Program completed" << endl; + + return 0; +} + |