diff options
Diffstat (limited to 'src/systemc/tests/systemc/kernel/sc_object_manager')
4 files changed, 217 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/sc_object_manager/test01/golden/test01.log b/src/systemc/tests/systemc/kernel/sc_object_manager/test01/golden/test01.log new file mode 100644 index 000000000..e50eff47c --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_object_manager/test01/golden/test01.log @@ -0,0 +1,18 @@ +SystemC Simulation +External: + a.a: sc_signal + a.b: sc_signal + a.c: sc_signal + a.signal_0: sc_signal + a.signal_1: sc_signal + a.signal_2: sc_signal + +Internal: + a.a: sc_signal + a.b: sc_signal + a.c: sc_signal + a.signal_0: sc_signal + a.signal_1: sc_signal + a.signal_2: sc_signal + +Program completed diff --git a/src/systemc/tests/systemc/kernel/sc_object_manager/test01/test01.cpp b/src/systemc/tests/systemc/kernel/sc_object_manager/test01/test01.cpp new file mode 100644 index 000000000..fe82205e0 --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_object_manager/test01/test01.cpp @@ -0,0 +1,104 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test01.cpp -- + + Original Author: Andy Goodrich, Forte Design Systems + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "systemc.h" + +void dump_signals(const char* module_name) +{ + sc_simcontext* c_p = sc_get_curr_simcontext(); + unsigned int mod_name_len = strlen(module_name); + sc_object* obj_p; + const char* obj_name_p; + + + for (obj_p = c_p->first_object(); obj_p; obj_p=c_p->next_object()) + { + obj_name_p = obj_p->name(); + if ( strlen(obj_name_p) > mod_name_len && + !strncmp(obj_p->name(),module_name, mod_name_len) && + !strcmp(obj_p->kind(),"sc_signal") ) + { + cout << " " << obj_p->name() << ": " << obj_p->kind() << endl; + } + } + cout << endl; +} + +SC_MODULE(A) +{ + SC_CTOR(A) : a("a"), b("b"), c("c") + { + } + sc_signal<int> a, b, c; + sc_in_clk m_clk; + sc_signal<int> x, y, z; +}; + + +SC_MODULE(TB) +{ + SC_CTOR(TB) + { + SC_METHOD(sync); + sensitive << m_clk.pos(); + dont_initialize(); + } + void sync() + { + cout << "Internal: " << endl; + dump_signals("a"); + } + sc_in_clk m_clk; +}; + +int sc_main(int argc, char* argv[]) +{ + sc_clock clock; + sc_signal<int> dummy; + A module_a("a"); + TB tb("tb"); + module_a.m_clk(clock); + tb.m_clk(clock); + + cout << "External: " << endl; + dump_signals(module_a.name()); + sc_start(1, SC_NS); + + + cerr << "Program completed" << endl; + return 0; +} diff --git a/src/systemc/tests/systemc/kernel/sc_object_manager/test02/golden/test02.log b/src/systemc/tests/systemc/kernel/sc_object_manager/test02/golden/test02.log new file mode 100644 index 000000000..0d934d401 --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_object_manager/test02/golden/test02.log @@ -0,0 +1,2 @@ +SystemC Simulation +Program completed diff --git a/src/systemc/tests/systemc/kernel/sc_object_manager/test02/test02.cpp b/src/systemc/tests/systemc/kernel/sc_object_manager/test02/test02.cpp new file mode 100644 index 000000000..9a94d134a --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_object_manager/test02/test02.cpp @@ -0,0 +1,93 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ +/***************************************************************************** + + test02.cpp -- + Original Author: Andy Goodrich, Forte Design Systems + + *****************************************************************************/ +/***************************************************************************** + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// TEST THAT THE CORRECT PARENT POINTER IS SET FOR THE before_end_of_elaboration +// and end_of_elaboration CALLBACKS + +#include "systemc.h" + +class my_object : public sc_object +{ + public: + my_object() {} + virtual ~my_object() {} +}; + +SC_MODULE(DUT) +{ + SC_CTOR(DUT) + { + SC_CTHREAD(thread,m_clk.pos()); + reset_signal_is(m_reset, true); + } + void before_end_of_elaboration() + { + m_before_p = new my_object; + } + void end_of_elaboration() + { + m_end_p = new my_object; + } + void thread() + { + for (;;) + { + wait(); + if ( m_before_p->get_parent_object() == 0 ) + cout << "before_end_of_elaboration parent is 0!" <<endl; + if ( m_end_p->get_parent_object() == 0 ) + cout << "end_of_elaboration parent is 0!" <<endl; + } + } + my_object* m_before_p; + sc_in<bool> m_clk; + my_object* m_end_p; + sc_in<bool> m_reset; +}; +int sc_main(int argc, char* argv[]) +{ + sc_clock clock; + DUT dut("dut"); + sc_signal<bool> reset; + + dut.m_clk(clock); + dut.m_reset(reset); + + reset = true; + sc_start(1, SC_NS); + reset = false; + sc_start(1, SC_NS); + + cout << "Program completed" << endl; + return 0; +} |