summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_process_b
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/kernel/sc_process_b')
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test01/golden/test01.log2
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test01/test01.cpp65
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test02/golden/test02.log11
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test02/test02.cpp31
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test03/golden/test03.log13
-rw-r--r--src/systemc/tests/systemc/kernel/sc_process_b/test03/test03.cpp80
6 files changed, 202 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test01/golden/test01.log b/src/systemc/tests/systemc/kernel/sc_process_b/test01/golden/test01.log
new file mode 100644
index 000000000..573bc27a1
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test01/golden/test01.log
@@ -0,0 +1,2 @@
+SystemC Simulation
+main action sc_method_process
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test01/test01.cpp b/src/systemc/tests/systemc/kernel/sc_process_b/test01/test01.cpp
new file mode 100644
index 000000000..b8d6642db
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test01/test01.cpp
@@ -0,0 +1,65 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test01.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-03-22
+ Ucar Aziz, Synopsys, Inc.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date: Andy Goodrich, Forte Design Systems, 10 Aug 05
+ Description of Modification: Rewrite to use sc_process_handle::kind().
+
+ *****************************************************************************/
+
+// test of sc_process_b::kind()
+
+#include "systemc.h"
+
+SC_MODULE( mod_a )
+{
+ void main_action()
+ {
+ cout << "main action ";
+ cout << sc_get_current_process_b()->kind() << endl;
+ }
+
+ SC_CTOR( mod_a )
+ {
+ SC_METHOD( main_action );
+ }
+};
+
+int
+sc_main( int, char*[] )
+{
+ mod_a a( "a" );
+
+ sc_start( 5, SC_NS );
+
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test02/golden/test02.log b/src/systemc/tests/systemc/kernel/sc_process_b/test02/golden/test02.log
new file mode 100644
index 000000000..754880b32
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test02/golden/test02.log
@@ -0,0 +1,11 @@
+SystemC Simulation
+
+Warning: (W505) object already exists: tb.sync. Latter declaration will be renamed to tb.sync_0
+In file: <removed by verify.pl>
+0 s: tb.sync
+0 s: tb.sync_0
+0 s: tb.sync_0
+0 s: tb.sync
+1 ns: tb.sync_0
+1 ns: tb.sync
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test02/test02.cpp b/src/systemc/tests/systemc/kernel/sc_process_b/test02/test02.cpp
new file mode 100644
index 000000000..1da5cefd1
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test02/test02.cpp
@@ -0,0 +1,31 @@
+#include "systemc.h"
+
+SC_MODULE(TB)
+{
+ SC_CTOR(TB)
+ {
+ SC_METHOD(sync);
+ sensitive << m_clk.pos();
+ SC_METHOD(sync);
+ sensitive << m_clk.pos();
+ }
+ void sync()
+ {
+ sc_curr_proc_handle cpi =
+ sc_get_curr_simcontext()->get_curr_proc_info();
+ cout << sc_time_stamp() << ": " << cpi->process_handle->name() << endl;
+ }
+ sc_in_clk m_clk;
+};
+
+int sc_main(int argc,char **argv)
+{
+ sc_clock clock;
+ TB tb("tb");
+
+ tb.m_clk(clock);
+ sc_start(2, SC_NS);
+
+ cerr << "Program completed" << endl;
+ return (0);
+}
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test03/golden/test03.log b/src/systemc/tests/systemc/kernel/sc_process_b/test03/golden/test03.log
new file mode 100644
index 000000000..e489be005
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test03/golden/test03.log
@@ -0,0 +1,13 @@
+SystemC Simulation
+t1.method 1
+t1.thread 3
+t1.method 1
+t1.method 1
+t1.thread 3
+t1.method 1
+t1.thread 3
+t1.method 1
+t1.thread 3
+t1.method 1
+t1.thread 3
+t1.method 1
diff --git a/src/systemc/tests/systemc/kernel/sc_process_b/test03/test03.cpp b/src/systemc/tests/systemc/kernel/sc_process_b/test03/test03.cpp
new file mode 100644
index 000000000..9bc8b29cb
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_process_b/test03/test03.cpp
@@ -0,0 +1,80 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test03.cpp --
+
+ Original Author: Andy Goodrich, Forte Design Systems, 27 July 2005
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+
+// This tests that one can get process handles on static processes.
+
+#include "systemc.h"
+
+
+SC_MODULE(Test) {
+ sc_in<bool> m_clk;
+
+ void method() {
+ sc_process_handle handle = sc_get_current_process_handle();
+ cout << handle.name() << " " << handle.proc_kind() << endl;
+ }
+ void thread() {
+ for (;;)
+ {
+ wait();
+ sc_process_handle handle = sc_get_current_process_handle();
+ cout << handle.name() << " " << handle.proc_kind() << endl;
+ }
+ }
+ SC_CTOR(Test) {
+ SC_METHOD(method);
+ sensitive << m_clk.neg();
+ sc_process_handle method_handle = sc_get_current_process_handle();
+ cout << name() << ".method " << method_handle.proc_kind() << endl;
+ SC_CTHREAD(thread,m_clk.pos());
+ sc_process_handle thread_handle = sc_get_current_process_handle();
+ cout << name() << ".thread " << thread_handle.proc_kind() << endl;
+ }
+};
+
+
+int sc_main(int argc,char *argv[]) {
+
+ Test t1("t1");
+ sc_clock clk("clk",10,SC_NS);
+
+ t1.m_clk(clk);
+
+ sc_start(50,SC_NS);
+ return 0;
+}