summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_start
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/kernel/sc_start')
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/golden/test1.log50
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/test1.cpp117
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/golden/test2.log16
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/test2.cpp112
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/time_overflow/golden/time_overflow.log11
-rw-r--r--src/systemc/tests/systemc/kernel/sc_start/time_overflow/time_overflow.cpp51
6 files changed, 357 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/golden/test1.log b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/golden/test1.log
new file mode 100644
index 000000000..8cb9086ca
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/golden/test1.log
@@ -0,0 +1,50 @@
+SystemC Simulation
+Event Start Parameters End Parameters
+------------- ---------------- --------------
+
+sc_start(1, SC_NS) - 0 s 0
+ X::comb() - 0 s 0
+ 1 ns 0
+~tweak - 1 ns 0
+ 1 ns 0
+sc_start(0, SC_NS) - 1 ns 0
+ 1 ns 1
+sc_start(0, SC_NS) - 1 ns 1
+ X::comb() - 1 ns 1
+ X::sync() - 1 ns 1
+ 1 ns 1
+sc_start(1, SC_NS) - 1 ns 1
+ 2 ns 1
+sc_start(0, SC_NS) - 2 ns 1
+ 2 ns 1
+sc_start(0, SC_NS) - 2 ns 1
+ X::sync() - 2 ns 1
+ 2 ns 1
+~tweak - 2 ns 1
+ 2 ns 1
+sc_start(0, SC_NS) - 2 ns 1
+ 2 ns 0
+~tweak - 2 ns 0
+ 2 ns 0
+sc_start(0, SC_NS) - 2 ns 0
+ X::comb() - 2 ns 0
+ 2 ns 1
+sc_start(0, SC_NS) - 2 ns 1
+ X::comb() - 2 ns 1
+ 2 ns 1
+sc_start(1, SC_NS) - 2 ns 1
+ 3 ns 1
+sc_start(1, SC_NS) - 3 ns 1
+ X::sync() - 3 ns 1
+ 4 ns 1
+sc_start(0, SC_NS) - 4 ns 1
+ 4 ns 1
+~tweak - 4 ns 1
+ 4 ns 1
+sc_start(0, SC_NS) - 4 ns 1
+ X::sync() - 4 ns 1
+ 4 ns 0
+sc_start(0, SC_NS) - 4 ns 0
+ X::comb() - 4 ns 0
+ 4 ns 0
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/test1.cpp b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/test1.cpp
new file mode 100644
index 000000000..7b2502d04
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test1/test1.cpp
@@ -0,0 +1,117 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test1.cpp -- Test alternations of sc_start(0, SC_NS) and sc_start(1, SC_NS).
+
+ Original Author: Andy Goodrich, Forte Design Systems, 18 August 2006
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification: -
+
+ *****************************************************************************/
+
+
+#include "systemc.h"
+
+SC_MODULE(X)
+{
+ SC_CTOR(X)
+ {
+ SC_METHOD(comb);
+ sensitive << tweak;
+ SC_CTHREAD(sync, clk.pos());
+ }
+ void comb()
+ {
+ cout << " X::comb() - " <<
+ sc_time_stamp() << " " <<
+ tweak.read() << endl;
+ }
+ void sync()
+ {
+ for (;;)
+ {
+ wait();
+ cout << " X::sync() - " <<
+ sc_time_stamp() << " " <<
+ tweak.read() << endl;
+ }
+ }
+ sc_in_clk clk;
+ sc_in<bool> tweak;
+};
+
+#define ACTION(action,descr) \
+{ \
+ cout << descr << " - " << \
+ sc_time_stamp() << " " << \
+ tweak.read() << endl; \
+ action ; \
+ cout << " " << \
+ sc_time_stamp() << " " << \
+ tweak.read() << endl; \
+}
+
+
+int sc_main(int argc, char* argv[])
+{
+ sc_clock clock;
+ sc_signal<bool> tweak;
+ X x("x");
+ x.clk(clock);
+ x.tweak(tweak);
+
+ cout << "Event Start Parameters End Parameters" << endl;
+ cout << "------------- ---------------- --------------\n" << endl;
+
+ ACTION(sc_start(1, SC_NS),"sc_start(1, SC_NS)")
+ ACTION(tweak = !tweak,"~tweak ")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(sc_start(1, SC_NS),"sc_start(1, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+
+ ACTION(tweak = !tweak,"~tweak ")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+
+ ACTION(tweak = !tweak,"~tweak ")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+
+ ACTION(sc_start(1, SC_NS),"sc_start(1, SC_NS)")
+ ACTION(sc_start(1, SC_NS),"sc_start(1, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(tweak = !tweak,"~tweak ")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+ ACTION(sc_start(0, SC_NS),"sc_start(0, SC_NS)")
+
+ cerr << "Program completed" << endl;
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/golden/test2.log b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/golden/test2.log
new file mode 100644
index 000000000..d3ee758f2
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/golden/test2.log
@@ -0,0 +1,16 @@
+SystemC Simulation
+0 s 1 thread
+0 s 2 cascade0
+0 s 3 cascade1
+0 s 4 cascade2
+
+1 ns 7 thread
+1 ns 8 cascade0
+1 ns 9 cascade1
+1 ns 10 cascade2
+
+2 ns 13 thread
+2 ns 14 cascade0
+2 ns 15 cascade1
+2 ns 16 cascade2
+Program completed
diff --git a/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/test2.cpp b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/test2.cpp
new file mode 100644
index 000000000..8b701d1da
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/sc_start_0/test2/test2.cpp
@@ -0,0 +1,112 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test2.cpp -- Test use of sc_pending_activity_at_current_time()
+
+ Original Author: Andy Goodrich, Forte Design Systems, 18 August 2006
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification: -
+
+ *****************************************************************************/
+
+#include "systemc.h"
+
+SC_MODULE(DUT)
+{
+ SC_CTOR(DUT)
+ {
+ SC_THREAD(thread)
+ sensitive << m_clk.pos();
+ dont_initialize();
+ SC_METHOD(cascade0_monitor);
+ sensitive << m_cascade0;
+ dont_initialize();
+ SC_METHOD(cascade1_monitor);
+ sensitive << m_cascade1;
+ dont_initialize();
+ SC_METHOD(cascade2_monitor);
+ sensitive << m_cascade2;
+ dont_initialize();
+ }
+
+ void cascade0_monitor()
+ {
+ cout << sc_time_stamp() << " " << sc_delta_count() << " cascade0"
+ << endl;
+ m_cascade1 = m_cascade0;
+ }
+
+ void cascade1_monitor()
+ {
+ cout << sc_time_stamp() << " " << sc_delta_count() << " cascade1"
+ << endl;
+ m_cascade2 = m_cascade1;
+ }
+
+ void cascade2_monitor()
+ {
+ cout << sc_time_stamp() << " " << sc_delta_count() << " cascade2"
+ << endl;
+ }
+
+ void thread()
+ {
+ for (;;)
+ {
+ cout << sc_time_stamp() << " " << sc_delta_count() << " thread"
+ << endl;
+ m_cascade0 = !m_cascade0.read();
+ wait();
+ }
+ }
+ sc_signal<bool> m_cascade0;
+ sc_signal<bool> m_cascade1;
+ sc_signal<bool> m_cascade2;
+ sc_in<bool> m_clk;
+};
+
+int sc_main(int argc, char* argv[])
+{
+ sc_clock clock;
+ DUT dut("dut");
+
+ dut.m_clk(clock);
+
+
+ do { sc_start(0, SC_NS); } while (sc_pending_activity_at_current_time());
+ cout << endl;
+ sc_start(1, SC_NS);
+ do { sc_start(0, SC_NS); } while (sc_pending_activity_at_current_time());
+ cout << endl;
+ sc_start(1, SC_NS);
+ do { sc_start(0, SC_NS); } while (sc_pending_activity_at_current_time());
+
+ cout << "Program completed" << endl;
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/kernel/sc_start/time_overflow/golden/time_overflow.log b/src/systemc/tests/systemc/kernel/sc_start/time_overflow/golden/time_overflow.log
new file mode 100644
index 000000000..cedb62065
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/time_overflow/golden/time_overflow.log
@@ -0,0 +1,11 @@
+SystemC Simulation
+0 s: Issuing sc_start(10, SC_NS)
+10 ns: Issuing sc_start()
+18446744073709551615 ps: Issuing sc_start()
+
+Warning: (W571) no activity or clock movement for sc_start() invocation
+In file: <removed by verify.pl>
+18446744073709551615 ps: Issuing sc_start(10, SC_NS)
+
+Error: (E544) simulation time value overflow, simulation aborted
+In file: <removed by verify.pl>
diff --git a/src/systemc/tests/systemc/kernel/sc_start/time_overflow/time_overflow.cpp b/src/systemc/tests/systemc/kernel/sc_start/time_overflow/time_overflow.cpp
new file mode 100644
index 000000000..e02fae353
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_start/time_overflow/time_overflow.cpp
@@ -0,0 +1,51 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ Original Author: Bishnupriya Bhattacharya, Cadence Design Systems,
+ Spetember 5, 2003
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+#include "systemc.h"
+
+int sc_main(int argc, char* argv[])
+{
+ cout << sc_time_stamp() << ": Issuing sc_start(10, SC_NS)" << endl;
+ sc_start(10, SC_NS);
+ cout << sc_time_stamp() << ": Issuing sc_start()" << endl;
+ sc_start(sc_max_time() - sc_time(10,SC_NS) );
+ cout << sc_time_stamp() << ": Issuing sc_start()" << endl;
+ sc_start();
+ cout << sc_time_stamp() << ": Issuing sc_start(10, SC_NS)" << endl;
+ sc_start(10, SC_NS);
+ cerr << sc_time_stamp() << ": Program completed" << endl;
+ return 0;
+}
+