summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_time/test10
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/kernel/sc_time/test10')
-rw-r--r--src/systemc/tests/systemc/kernel/sc_time/test10/golden/test10.log13
-rw-r--r--src/systemc/tests/systemc/kernel/sc_time/test10/test10.cpp50
2 files changed, 63 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/kernel/sc_time/test10/golden/test10.log b/src/systemc/tests/systemc/kernel/sc_time/test10/golden/test10.log
new file mode 100644
index 000000000..22116047d
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_time/test10/golden/test10.log
@@ -0,0 +1,13 @@
+SystemC Simulation
+1 fs
+
+Info: (I804) /IEEE_Std_1666/deprecated: deprecated function: sc_get_default_time_unit
+1 ns
+
+Info: (I804) /IEEE_Std_1666/deprecated: You can turn off warnings about
+ IEEE 1666 deprecated features by placing this method call
+ as the first statement in your sc_main() function:
+
+ sc_core::sc_report_handler::set_actions( "/IEEE_Std_1666/deprecated",
+ sc_core::SC_DO_NOTHING );
+
diff --git a/src/systemc/tests/systemc/kernel/sc_time/test10/test10.cpp b/src/systemc/tests/systemc/kernel/sc_time/test10/test10.cpp
new file mode 100644
index 000000000..55f7ed908
--- /dev/null
+++ b/src/systemc/tests/systemc/kernel/sc_time/test10/test10.cpp
@@ -0,0 +1,50 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test10.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+// test of functions sc_set_time_resolution and sc_get_time_resolution
+
+#include "systemc.h"
+
+int
+sc_main( int, char*[] )
+{
+ sc_set_time_resolution( 1, SC_FS );
+ cout << sc_get_time_resolution() << endl;
+ cout << sc_get_default_time_unit() << endl;
+
+ return 0;
+}