summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/misc/communication/signals/float
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/misc/communication/signals/float')
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/float.f3
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/golden/float.log50
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/main.cpp60
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/proc1.cpp50
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/proc1.h65
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/proc2.cpp50
-rw-r--r--src/systemc/tests/systemc/misc/communication/signals/float/proc2.h65
7 files changed, 343 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/float.f b/src/systemc/tests/systemc/misc/communication/signals/float/float.f
new file mode 100644
index 000000000..c435b03ff
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/float.f
@@ -0,0 +1,3 @@
+float/proc1.cpp
+float/proc2.cpp
+float/main.cpp
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/golden/float.log b/src/systemc/tests/systemc/misc/communication/signals/float/golden/float.log
new file mode 100644
index 000000000..c2c0e4e87
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/golden/float.log
@@ -0,0 +1,50 @@
+SystemC Simulation
+if( signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
+if( signal ) works
+if( !signal ) works
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/main.cpp b/src/systemc/tests/systemc/misc/communication/signals/float/main.cpp
new file mode 100644
index 000000000..df88153d0
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/main.cpp
@@ -0,0 +1,60 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ main.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "proc1.h"
+#include "proc2.h"
+
+int
+sc_main( int, char*[] )
+{
+ sc_signal<float> ack;
+ sc_signal<float> ready;
+
+ ack = 1.0;
+ ready = 1.0;
+
+ sc_clock clk( "Clock", 20, SC_NS, 0.5, 0.0, SC_NS );
+
+ proc1 P1( "P1", clk, ack, ready );
+ proc2 P2( "P2", clk, ready, ack );
+
+ sc_start( 500, SC_NS );
+
+ return 0;
+}
+
+
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/proc1.cpp b/src/systemc/tests/systemc/misc/communication/signals/float/proc1.cpp
new file mode 100644
index 000000000..7af23deaf
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/proc1.cpp
@@ -0,0 +1,50 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ proc1.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "proc1.h"
+
+void
+proc1::entry()
+{
+ while( true ) {
+ if( ready.read() ) {
+ ack.write( 0.0 );
+ cout << "if( signal ) works" << endl;
+ }
+ wait();
+ }
+}
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/proc1.h b/src/systemc/tests/systemc/misc/communication/signals/float/proc1.h
new file mode 100644
index 000000000..6258013dd
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/proc1.h
@@ -0,0 +1,65 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ proc1.h --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#ifndef PROC1_H
+#define PROC1_H
+
+#include "systemc.h"
+
+SC_MODULE( proc1 )
+{
+ SC_HAS_PROCESS( proc1 );
+
+ sc_in_clk clk;
+ sc_in<float> ready;
+ sc_out<float> ack;
+
+ proc1( sc_module_name NAME,
+ sc_clock& CLK,
+ sc_signal<float>& READY,
+ sc_signal<float>& ACK )
+ {
+ clk( CLK );
+ ready( READY );
+ ack( ACK );
+ SC_CTHREAD( entry, clk.pos() );
+ }
+
+ void entry();
+};
+
+#endif
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/proc2.cpp b/src/systemc/tests/systemc/misc/communication/signals/float/proc2.cpp
new file mode 100644
index 000000000..b92097761
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/proc2.cpp
@@ -0,0 +1,50 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ proc2.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "proc2.h"
+
+void
+proc2::entry()
+{
+ while( true ) {
+ if( ! ready .read() ) {
+ ack.write( 1.0 );
+ cout << "if( !signal ) works" << endl;
+ }
+ wait();
+ }
+}
diff --git a/src/systemc/tests/systemc/misc/communication/signals/float/proc2.h b/src/systemc/tests/systemc/misc/communication/signals/float/proc2.h
new file mode 100644
index 000000000..23607104f
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/communication/signals/float/proc2.h
@@ -0,0 +1,65 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ proc2.h --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#ifndef PROC2_H
+#define PROC2_H
+
+#include "systemc.h"
+
+SC_MODULE( proc2 )
+{
+ SC_HAS_PROCESS( proc2 );
+
+ sc_in_clk clk;
+ sc_in<float> ready;
+ sc_out<float> ack;
+
+ proc2( sc_module_name NAME,
+ sc_clock& CLK,
+ sc_signal<float>& READY,
+ sc_signal<float>& ACK )
+ {
+ clk( CLK );
+ ready( READY );
+ ack( ACK );
+ SC_CTHREAD( entry, clk.pos() );
+ }
+
+ void entry();
+};
+
+#endif