summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp')
-rw-r--r--src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp53
1 files changed, 53 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp b/src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp
new file mode 100644
index 000000000..43e3ce8d8
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/sim/prime_do_while/reset.cpp
@@ -0,0 +1,53 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ reset.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+ /***************************************/
+ /* Implementation Filename: reset.cc */
+ /***************************************/
+
+#include "reset.h"
+
+void resetp::entry()
+{
+ reset.write(0);
+ wait(3);
+
+ reset.write(1);
+ wait();
+
+ halt();
+}