diff options
Diffstat (limited to 'src/systemc/tests/systemc/misc/sim_tests/manual_clock')
3 files changed, 13779 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/sim_tests/manual_clock/golden/manual_clock.log b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/golden/manual_clock.log new file mode 100644 index 000000000..4d727e9a8 --- /dev/null +++ b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/golden/manual_clock.log @@ -0,0 +1,13333 @@ +SystemC Simulation +4 ns P1(a&&b):: C = 0 +4 ns P2(a&&b):: C = 1 +6 ns P1(a||b):: C = 1 +6 ns P2(a||b):: C = 0 +10 ns P1(a&&b):: C = 0 +10 ns P2(a&&b):: C = 1 +12 ns P1(a||b):: C = 1 +12 ns P2(a||b):: C = 0 +16 ns P1(a&&b):: C = 1 +16 ns P2(a&&b):: C = 0 +18 ns P1(a||b):: C = 1 +18 ns P2(a||b):: C = 0 +22 ns P1(a&&b):: C = 0 +22 ns P2(a&&b):: C = 1 +24 ns P1(a||b):: C = 1 +24 ns P2(a||b):: C = 0 +28 ns P1(a&&b):: C = 0 +28 ns P2(a&&b):: C = 1 +30 ns P1(a||b):: C = 1 +30 ns P2(a||b):: C = 0 +34 ns P1(a&&b):: C = 0 +34 ns P2(a&&b):: C = 1 +36 ns P1(a||b):: C = 1 +36 ns P2(a||b):: C = 0 +40 ns P1(a&&b):: C = 0 +40 ns P2(a&&b):: C = 1 +42 ns P1(a||b):: C = 1 +42 ns P2(a||b):: C = 0 +46 ns P1(a&&b):: C = 0 +46 ns P2(a&&b):: C = 1 +48 ns P1(a||b):: C = 1 +48 ns P2(a||b):: C = 0 +52 ns P1(a&&b):: C = 0 +52 ns P2(a&&b):: C = 1 +54 ns P1(a||b):: C = 1 +54 ns P2(a||b):: C = 0 +58 ns P1(a&&b):: C = 0 +58 ns P2(a&&b):: C = 1 +60 ns P1(a||b):: C = 1 +60 ns P2(a||b):: C = 0 +64 ns P1(a&&b):: C = 0 +64 ns P2(a&&b):: C = 1 +66 ns P1(a||b):: C = 1 +66 ns P2(a||b):: C = 0 +70 ns P1(a&&b):: C = 0 +70 ns P2(a&&b):: C = 1 +72 ns P1(a||b):: C = 0 +72 ns P2(a||b):: C = 1 +76 ns P1(a&&b):: C = 0 +76 ns P2(a&&b):: C = 1 +78 ns P1(a||b):: C = 1 +78 ns P2(a||b):: C = 0 +82 ns P1(a&&b):: C = 1 +82 ns P2(a&&b):: C = 0 +84 ns P1(a||b):: C = 1 +84 ns P2(a||b):: C = 0 +88 ns P1(a&&b):: C = 1 +88 ns P2(a&&b):: C = 0 +90 ns P1(a||b):: C = 1 +90 ns P2(a||b):: C = 0 +94 ns P1(a&&b):: C = 0 +94 ns P2(a&&b):: C = 1 +96 ns P1(a||b):: C = 1 +96 ns P2(a||b):: C = 0 +100 ns P1(a&&b):: C = 0 +100 ns P2(a&&b):: C = 1 +102 ns P1(a||b):: C = 1 +102 ns P2(a||b):: C = 0 +106 ns P1(a&&b):: C = 0 +106 ns P2(a&&b):: C = 1 +108 ns P1(a||b):: C = 0 +108 ns P2(a||b):: C = 1 +112 ns P1(a&&b):: C = 1 +112 ns P2(a&&b):: C = 0 +114 ns P1(a||b):: C = 1 +114 ns P2(a||b):: C = 0 +118 ns P1(a&&b):: C = 1 +118 ns P2(a&&b):: C = 0 +120 ns P1(a||b):: C = 1 +120 ns P2(a||b):: C = 0 +124 ns P1(a&&b):: C = 0 +124 ns P2(a&&b):: C = 1 +126 ns P1(a||b):: C = 1 +126 ns P2(a||b):: C = 0 +130 ns P1(a&&b):: C = 0 +130 ns P2(a&&b):: C = 1 +132 ns P1(a||b):: C = 0 +132 ns P2(a||b):: C = 1 +136 ns P1(a&&b):: C = 1 +136 ns P2(a&&b):: C = 0 +138 ns P1(a||b):: C = 1 +138 ns P2(a||b):: C = 0 +142 ns P1(a&&b):: C = 0 +142 ns P2(a&&b):: C = 1 +144 ns P1(a||b):: C = 0 +144 ns P2(a||b):: C = 1 +148 ns P1(a&&b):: C = 1 +148 ns P2(a&&b):: C = 0 +150 ns P1(a||b):: C = 1 +150 ns P2(a||b):: C = 0 +154 ns P1(a&&b):: C = 1 +154 ns P2(a&&b):: C = 0 +156 ns P1(a||b):: C = 1 +156 ns P2(a||b):: C = 0 +160 ns P1(a&&b):: C = 1 +160 ns P2(a&&b):: C = 0 +162 ns P1(a||b):: C = 1 +162 ns P2(a||b):: C = 0 +166 ns P1(a&&b):: C = 0 +166 ns P2(a&&b):: C = 1 +168 ns P1(a||b):: C = 0 +168 ns P2(a||b):: C = 1 +172 ns P1(a&&b):: C = 0 +172 ns P2(a&&b):: C = 1 +174 ns P1(a||b):: C = 1 +174 ns P2(a||b):: C = 0 +178 ns P1(a&&b):: C = 0 +178 ns P2(a&&b):: C = 1 +180 ns P1(a||b):: C = 1 +180 ns P2(a||b):: C = 0 +184 ns P1(a&&b):: C = 0 +184 ns P2(a&&b):: C = 1 +186 ns P1(a||b):: C = 1 +186 ns P2(a||b):: C = 0 +190 ns P1(a&&b):: C = 0 +190 ns P2(a&&b):: C = 1 +192 ns P1(a||b):: C = 0 +192 ns P2(a||b):: C = 1 +196 ns P1(a&&b):: C = 0 +196 ns P2(a&&b):: C = 1 +198 ns P1(a||b):: C = 0 +198 ns P2(a||b):: C = 1 +202 ns P1(a&&b):: C = 0 +202 ns P2(a&&b):: C = 1 +204 ns P1(a||b):: C = 0 +204 ns P2(a||b):: C = 1 +208 ns P1(a&&b):: C = 1 +208 ns P2(a&&b):: C = 0 +210 ns P1(a||b):: C = 0 +210 ns P2(a||b):: C = 1 +214 ns P1(a&&b):: C = 0 +214 ns P2(a&&b):: C = 1 +216 ns P1(a||b):: C = 0 +216 ns P2(a||b):: C = 1 +220 ns P1(a&&b):: C = 0 +220 ns P2(a&&b):: C = 1 +222 ns P1(a||b):: C = 1 +222 ns P2(a||b):: C = 0 +226 ns P1(a&&b):: C = 0 +226 ns P2(a&&b):: C = 1 +228 ns P1(a||b):: C = 1 +228 ns P2(a||b):: C = 0 +232 ns P1(a&&b):: C = 0 +232 ns P2(a&&b):: C = 1 +234 ns P1(a||b):: C = 0 +234 ns P2(a||b):: C = 1 +238 ns P1(a&&b):: C = 0 +238 ns P2(a&&b):: C = 1 +240 ns P1(a||b):: C = 0 +240 ns P2(a||b):: C = 1 +244 ns P1(a&&b):: C = 0 +244 ns P2(a&&b):: C = 1 +246 ns P1(a||b):: C = 0 +246 ns P2(a||b):: C = 1 +250 ns P1(a&&b):: C = 1 +250 ns P2(a&&b):: C = 0 +252 ns P1(a||b):: C = 1 +252 ns P2(a||b):: C = 0 +256 ns P1(a&&b):: C = 1 +256 ns P2(a&&b):: C = 0 +258 ns P1(a||b):: C = 0 +258 ns P2(a||b):: C = 1 +262 ns P1(a&&b):: C = 0 +262 ns P2(a&&b):: C = 1 +264 ns P1(a||b):: C = 1 +264 ns P2(a||b):: C = 0 +268 ns P1(a&&b):: C = 1 +268 ns P2(a&&b):: C = 0 +270 ns P1(a||b):: C = 1 +270 ns P2(a||b):: C = 0 +274 ns P1(a&&b):: C = 0 +274 ns P2(a&&b):: C = 1 +276 ns P1(a||b):: C = 1 +276 ns P2(a||b):: C = 0 +280 ns P1(a&&b):: C = 0 +280 ns P2(a&&b):: C = 1 +282 ns P1(a||b):: C = 0 +282 ns P2(a||b):: C = 1 +286 ns P1(a&&b):: C = 0 +286 ns P2(a&&b):: C = 1 +288 ns P1(a||b):: C = 1 +288 ns P2(a||b):: C = 0 +292 ns P1(a&&b):: C = 0 +292 ns P2(a&&b):: C = 1 +294 ns P1(a||b):: C = 1 +294 ns P2(a||b):: C = 0 +298 ns P1(a&&b):: C = 1 +298 ns P2(a&&b):: C = 0 +300 ns P1(a||b):: C = 1 +300 ns P2(a||b):: C = 0 +304 ns P1(a&&b):: C = 0 +304 ns P2(a&&b):: C = 1 +306 ns P1(a||b):: C = 1 +306 ns P2(a||b):: C = 0 +310 ns P1(a&&b):: C = 1 +310 ns P2(a&&b):: C = 0 +312 ns P1(a||b):: C = 1 +312 ns P2(a||b):: C = 0 +316 ns P1(a&&b):: C = 0 +316 ns P2(a&&b):: C = 1 +318 ns P1(a||b):: C = 1 +318 ns P2(a||b):: C = 0 +322 ns P1(a&&b):: C = 0 +322 ns P2(a&&b):: C = 1 +324 ns P1(a||b):: C = 1 +324 ns P2(a||b):: C = 0 +328 ns P1(a&&b):: C = 1 +328 ns P2(a&&b):: C = 0 +330 ns P1(a||b):: C = 0 +330 ns P2(a||b):: C = 1 +334 ns P1(a&&b):: C = 0 +334 ns P2(a&&b):: C = 1 +336 ns P1(a||b):: C = 1 +336 ns P2(a||b):: C = 0 +340 ns P1(a&&b):: C = 0 +340 ns P2(a&&b):: C = 1 +342 ns P1(a||b):: C = 0 +342 ns P2(a||b):: C = 1 +346 ns P1(a&&b):: C = 1 +346 ns P2(a&&b):: C = 0 +348 ns P1(a||b):: C = 1 +348 ns P2(a||b):: C = 0 +352 ns P1(a&&b):: C = 0 +352 ns P2(a&&b):: C = 1 +354 ns P1(a||b):: C = 1 +354 ns P2(a||b):: C = 0 +358 ns P1(a&&b):: C = 1 +358 ns P2(a&&b):: C = 0 +360 ns P1(a||b):: C = 1 +360 ns P2(a||b):: C = 0 +364 ns P1(a&&b):: C = 0 +364 ns P2(a&&b):: C = 1 +366 ns P1(a||b):: C = 1 +366 ns P2(a||b):: C = 0 +370 ns P1(a&&b):: C = 1 +370 ns P2(a&&b):: C = 0 +372 ns P1(a||b):: C = 1 +372 ns P2(a||b):: C = 0 +376 ns P1(a&&b):: C = 0 +376 ns P2(a&&b):: C = 1 +378 ns P1(a||b):: C = 0 +378 ns P2(a||b):: C = 1 +382 ns P1(a&&b):: C = 0 +382 ns P2(a&&b):: C = 1 +384 ns P1(a||b):: C = 1 +384 ns P2(a||b):: C = 0 +388 ns P1(a&&b):: C = 0 +388 ns P2(a&&b):: C = 1 +390 ns P1(a||b):: C = 1 +390 ns P2(a||b):: C = 0 +394 ns P1(a&&b):: C = 0 +394 ns P2(a&&b):: C = 1 +396 ns P1(a||b):: C = 1 +396 ns P2(a||b):: C = 0 +400 ns P1(a&&b):: C = 0 +400 ns P2(a&&b):: C = 1 +402 ns P1(a||b):: C = 1 +402 ns P2(a||b):: C = 0 +406 ns P1(a&&b):: C = 0 +406 ns P2(a&&b):: C = 1 +408 ns P1(a||b):: C = 1 +408 ns P2(a||b):: C = 0 +412 ns P1(a&&b):: C = 0 +412 ns P2(a&&b):: C = 1 +414 ns P1(a||b):: C = 1 +414 ns P2(a||b):: C = 0 +418 ns P1(a&&b):: C = 0 +418 ns P2(a&&b):: C = 1 +420 ns P1(a||b):: C = 1 +420 ns P2(a||b):: C = 0 +424 ns P1(a&&b):: C = 0 +424 ns P2(a&&b):: C = 1 +426 ns P1(a||b):: C = 1 +426 ns P2(a||b):: C = 0 +430 ns P1(a&&b):: C = 0 +430 ns P2(a&&b):: C = 1 +432 ns P1(a||b):: C = 1 +432 ns P2(a||b):: C = 0 +436 ns P1(a&&b):: C = 0 +436 ns P2(a&&b):: C = 1 +438 ns P1(a||b):: C = 1 +438 ns P2(a||b):: C = 0 +442 ns P1(a&&b):: C = 1 +442 ns P2(a&&b):: C = 0 +444 ns P1(a||b):: C = 1 +444 ns P2(a||b):: C = 0 +448 ns P1(a&&b):: C = 0 +448 ns P2(a&&b):: C = 1 +450 ns P1(a||b):: C = 0 +450 ns P2(a||b):: C = 1 +454 ns P1(a&&b):: C = 0 +454 ns P2(a&&b):: C = 1 +456 ns P1(a||b):: C = 1 +456 ns P2(a||b):: C = 0 +460 ns P1(a&&b):: C = 1 +460 ns P2(a&&b):: C = 0 +462 ns P1(a||b):: C = 1 +462 ns P2(a||b):: C = 0 +466 ns P1(a&&b):: C = 0 +466 ns P2(a&&b):: C = 1 +468 ns P1(a||b):: C = 1 +468 ns P2(a||b):: C = 0 +472 ns P1(a&&b):: C = 0 +472 ns P2(a&&b):: C = 1 +474 ns P1(a||b):: C = 1 +474 ns P2(a||b):: C = 0 +478 ns P1(a&&b):: C = 0 +478 ns P2(a&&b):: C = 1 +480 ns P1(a||b):: C = 1 +480 ns P2(a||b):: C = 0 +484 ns P1(a&&b):: C = 0 +484 ns P2(a&&b):: C = 1 +486 ns P1(a||b):: C = 1 +486 ns P2(a||b):: C = 0 +490 ns P1(a&&b):: C = 0 +490 ns P2(a&&b):: C = 1 +492 ns P1(a||b):: C = 0 +492 ns P2(a||b):: C = 1 +496 ns P1(a&&b):: C = 0 +496 ns P2(a&&b):: C = 1 +498 ns P1(a||b):: C = 1 +498 ns P2(a||b):: C = 0 +502 ns P1(a&&b):: C = 1 +502 ns P2(a&&b):: C = 0 +504 ns P1(a||b):: C = 1 +504 ns P2(a||b):: C = 0 +508 ns P1(a&&b):: C = 0 +508 ns P2(a&&b):: C = 1 +510 ns P1(a||b):: C = 1 +510 ns P2(a||b):: C = 0 +514 ns P1(a&&b):: C = 0 +514 ns P2(a&&b):: C = 1 +516 ns P1(a||b):: C = 1 +516 ns P2(a||b):: C = 0 +520 ns P1(a&&b):: C = 0 +520 ns P2(a&&b):: C = 1 +522 ns P1(a||b):: C = 1 +522 ns P2(a||b):: C = 0 +526 ns P1(a&&b):: C = 1 +526 ns P2(a&&b):: C = 0 +528 ns P1(a||b):: C = 1 +528 ns P2(a||b):: C = 0 +532 ns P1(a&&b):: C = 0 +532 ns P2(a&&b):: C = 1 +534 ns P1(a||b):: C = 1 +534 ns P2(a||b):: C = 0 +538 ns P1(a&&b):: C = 1 +538 ns P2(a&&b):: C = 0 +540 ns P1(a||b):: C = 1 +540 ns P2(a||b):: C = 0 +544 ns P1(a&&b):: C = 0 +544 ns P2(a&&b):: C = 1 +546 ns P1(a||b):: C = 0 +546 ns P2(a||b):: C = 1 +550 ns P1(a&&b):: C = 0 +550 ns P2(a&&b):: C = 1 +552 ns P1(a||b):: C = 1 +552 ns P2(a||b):: C = 0 +556 ns P1(a&&b):: C = 1 +556 ns P2(a&&b):: C = 0 +558 ns P1(a||b):: C = 0 +558 ns P2(a||b):: C = 1 +562 ns P1(a&&b):: C = 0 +562 ns P2(a&&b):: C = 1 +564 ns P1(a||b):: C = 0 +564 ns P2(a||b):: C = 1 +568 ns P1(a&&b):: C = 1 +568 ns P2(a&&b):: C = 0 +570 ns P1(a||b):: C = 1 +570 ns P2(a||b):: C = 0 +574 ns P1(a&&b):: C = 1 +574 ns P2(a&&b):: C = 0 +576 ns P1(a||b):: C = 1 +576 ns P2(a||b):: C = 0 +580 ns P1(a&&b):: C = 0 +580 ns P2(a&&b):: C = 1 +582 ns P1(a||b):: C = 1 +582 ns P2(a||b):: C = 0 +586 ns P1(a&&b):: C = 0 +586 ns P2(a&&b):: C = 1 +588 ns P1(a||b):: C = 1 +588 ns P2(a||b):: C = 0 +592 ns P1(a&&b):: C = 0 +592 ns P2(a&&b):: C = 1 +594 ns P1(a||b):: C = 0 +594 ns P2(a||b):: C = 1 +598 ns P1(a&&b):: C = 1 +598 ns P2(a&&b):: C = 0 +600 ns P1(a||b):: C = 1 +600 ns P2(a||b):: C = 0 +604 ns P1(a&&b):: C = 1 +604 ns P2(a&&b):: C = 0 +606 ns P1(a||b):: C = 1 +606 ns P2(a||b):: C = 0 +610 ns P1(a&&b):: C = 0 +610 ns P2(a&&b):: C = 1 +612 ns P1(a||b):: C = 0 +612 ns P2(a||b):: C = 1 +616 ns P1(a&&b):: C = 0 +616 ns P2(a&&b):: C = 1 +618 ns P1(a||b):: C = 1 +618 ns P2(a||b):: C = 0 +622 ns P1(a&&b):: C = 0 +622 ns P2(a&&b):: C = 1 +624 ns P1(a||b):: C = 0 +624 ns P2(a||b):: C = 1 +628 ns P1(a&&b):: C = 0 +628 ns P2(a&&b):: C = 1 +630 ns P1(a||b):: C = 0 +630 ns P2(a||b):: C = 1 +634 ns P1(a&&b):: C = 0 +634 ns P2(a&&b):: C = 1 +636 ns P1(a||b):: C = 1 +636 ns P2(a||b):: C = 0 +640 ns P1(a&&b):: C = 0 +640 ns P2(a&&b):: C = 1 +642 ns P1(a||b):: C = 1 +642 ns P2(a||b):: C = 0 +646 ns P1(a&&b):: C = 0 +646 ns P2(a&&b):: C = 1 +648 ns P1(a||b):: C = 0 +648 ns P2(a||b):: C = 1 +652 ns P1(a&&b):: C = 0 +652 ns P2(a&&b):: C = 1 +654 ns P1(a||b):: C = 1 +654 ns P2(a||b):: C = 0 +658 ns P1(a&&b):: C = 0 +658 ns P2(a&&b):: C = 1 +660 ns P1(a||b):: C = 1 +660 ns P2(a||b):: C = 0 +664 ns P1(a&&b):: C = 0 +664 ns P2(a&&b):: C = 1 +666 ns P1(a||b):: C = 0 +666 ns P2(a||b):: C = 1 +670 ns P1(a&&b):: C = 0 +670 ns P2(a&&b):: C = 1 +672 ns P1(a||b):: C = 1 +672 ns P2(a||b):: C = 0 +676 ns P1(a&&b):: C = 0 +676 ns P2(a&&b):: C = 1 +678 ns P1(a||b):: C = 1 +678 ns P2(a||b):: C = 0 +682 ns P1(a&&b):: C = 0 +682 ns P2(a&&b):: C = 1 +684 ns P1(a||b):: C = 1 +684 ns P2(a||b):: C = 0 +688 ns P1(a&&b):: C = 1 +688 ns P2(a&&b):: C = 0 +690 ns P1(a||b):: C = 0 +690 ns P2(a||b):: C = 1 +694 ns P1(a&&b):: C = 1 +694 ns P2(a&&b):: C = 0 +696 ns P1(a||b):: C = 1 +696 ns P2(a||b):: C = 0 +700 ns P1(a&&b):: C = 0 +700 ns P2(a&&b):: C = 1 +702 ns P1(a||b):: C = 0 +702 ns P2(a||b):: C = 1 +706 ns P1(a&&b):: C = 0 +706 ns P2(a&&b):: C = 1 +708 ns P1(a||b):: C = 1 +708 ns P2(a||b):: C = 0 +712 ns P1(a&&b):: C = 0 +712 ns P2(a&&b):: C = 1 +714 ns P1(a||b):: C = 1 +714 ns P2(a||b):: C = 0 +718 ns P1(a&&b):: C = 0 +718 ns P2(a&&b):: C = 1 +720 ns P1(a||b):: C = 1 +720 ns P2(a||b):: C = 0 +724 ns P1(a&&b):: C = 0 +724 ns P2(a&&b):: C = 1 +726 ns P1(a||b):: C = 1 +726 ns P2(a||b):: C = 0 +730 ns P1(a&&b):: C = 0 +730 ns P2(a&&b):: C = 1 +732 ns P1(a||b):: C = 1 +732 ns P2(a||b):: C = 0 +736 ns P1(a&&b):: C = 0 +736 ns P2(a&&b):: C = 1 +738 ns P1(a||b):: C = 1 +738 ns P2(a||b):: C = 0 +742 ns P1(a&&b):: C = 0 +742 ns P2(a&&b):: C = 1 +744 ns P1(a||b):: C = 1 +744 ns P2(a||b):: C = 0 +748 ns P1(a&&b):: C = 0 +748 ns P2(a&&b):: C = 1 +750 ns P1(a||b):: C = 1 +750 ns P2(a||b):: C = 0 +754 ns P1(a&&b):: C = 0 +754 ns P2(a&&b):: C = 1 +756 ns P1(a||b):: C = 0 +756 ns P2(a||b):: C = 1 +760 ns P1(a&&b):: C = 0 +760 ns P2(a&&b):: C = 1 +762 ns P1(a||b):: C = 1 +762 ns P2(a||b):: C = 0 +766 ns P1(a&&b):: C = 1 +766 ns P2(a&&b):: C = 0 +768 ns P1(a||b):: C = 1 +768 ns P2(a||b):: C = 0 +772 ns P1(a&&b):: C = 0 +772 ns P2(a&&b):: C = 1 +774 ns P1(a||b):: C = 0 +774 ns P2(a||b):: C = 1 +778 ns P1(a&&b):: C = 0 +778 ns P2(a&&b):: C = 1 +780 ns P1(a||b):: C = 1 +780 ns P2(a||b):: C = 0 +784 ns P1(a&&b):: C = 0 +784 ns P2(a&&b):: C = 1 +786 ns P1(a||b):: C = 0 +786 ns P2(a||b):: C = 1 +790 ns P1(a&&b):: C = 1 +790 ns P2(a&&b):: C = 0 +792 ns P1(a||b):: C = 1 +792 ns P2(a||b):: C = 0 +796 ns P1(a&&b):: C = 1 +796 ns P2(a&&b):: C = 0 +798 ns P1(a||b):: C = 1 +798 ns P2(a||b):: C = 0 +802 ns P1(a&&b):: C = 0 +802 ns P2(a&&b):: C = 1 +804 ns P1(a||b):: C = 1 +804 ns P2(a||b):: C = 0 +808 ns P1(a&&b):: C = 1 +808 ns P2(a&&b):: C = 0 +810 ns P1(a||b):: C = 1 +810 ns P2(a||b):: C = 0 +814 ns P1(a&&b):: C = 0 +814 ns P2(a&&b):: C = 1 +816 ns P1(a||b):: C = 1 +816 ns P2(a||b):: C = 0 +820 ns P1(a&&b):: C = 0 +820 ns P2(a&&b):: C = 1 +822 ns P1(a||b):: C = 1 +822 ns P2(a||b):: C = 0 +826 ns P1(a&&b):: C = 0 +826 ns P2(a&&b):: C = 1 +828 ns P1(a||b):: C = 1 +828 ns P2(a||b):: C = 0 +832 ns P1(a&&b):: C = 0 +832 ns P2(a&&b):: C = 1 +834 ns P1(a||b):: C = 1 +834 ns P2(a||b):: C = 0 +838 ns P1(a&&b):: C = 0 +838 ns P2(a&&b):: C = 1 +840 ns P1(a||b):: C = 0 +840 ns P2(a||b):: C = 1 +844 ns P1(a&&b):: C = 0 +844 ns P2(a&&b):: C = 1 +846 ns P1(a||b):: C = 1 +846 ns P2(a||b):: C = 0 +850 ns P1(a&&b):: C = 0 +850 ns P2(a&&b):: C = 1 +852 ns P1(a||b):: C = 1 +852 ns P2(a||b):: C = 0 +856 ns P1(a&&b):: C = 0 +856 ns P2(a&&b):: C = 1 +858 ns P1(a||b):: C = 1 +858 ns P2(a||b):: C = 0 +862 ns P1(a&&b):: C = 1 +862 ns P2(a&&b):: C = 0 +864 ns P1(a||b):: C = 0 +864 ns P2(a||b):: C = 1 +868 ns P1(a&&b):: C = 0 +868 ns P2(a&&b):: C = 1 +870 ns P1(a||b):: C = 0 +870 ns P2(a||b):: C = 1 +874 ns P1(a&&b):: C = 0 +874 ns P2(a&&b):: C = 1 +876 ns P1(a||b):: C = 1 +876 ns P2(a||b):: C = 0 +880 ns P1(a&&b):: C = 0 +880 ns P2(a&&b):: C = 1 +882 ns P1(a||b):: C = 1 +882 ns P2(a||b):: C = 0 +886 ns P1(a&&b):: C = 0 +886 ns P2(a&&b):: C = 1 +888 ns P1(a||b):: C = 1 +888 ns P2(a||b):: C = 0 +892 ns P1(a&&b):: C = 0 +892 ns P2(a&&b):: C = 1 +894 ns P1(a||b):: C = 1 +894 ns P2(a||b):: C = 0 +898 ns P1(a&&b):: C = 0 +898 ns P2(a&&b):: C = 1 +900 ns P1(a||b):: C = 1 +900 ns P2(a||b):: C = 0 +904 ns P1(a&&b):: C = 0 +904 ns P2(a&&b):: C = 1 +906 ns P1(a||b):: C = 1 +906 ns P2(a||b):: C = 0 +910 ns P1(a&&b):: C = 0 +910 ns P2(a&&b):: C = 1 +912 ns P1(a||b):: C = 0 +912 ns P2(a||b):: C = 1 +916 ns P1(a&&b):: C = 0 +916 ns P2(a&&b):: C = 1 +918 ns P1(a||b):: C = 1 +918 ns P2(a||b):: C = 0 +922 ns P1(a&&b):: C = 0 +922 ns P2(a&&b):: C = 1 +924 ns P1(a||b):: C = 1 +924 ns P2(a||b):: C = 0 +928 ns P1(a&&b):: C = 0 +928 ns P2(a&&b):: C = 1 +930 ns P1(a||b):: C = 0 +930 ns P2(a||b):: C = 1 +934 ns P1(a&&b):: C = 0 +934 ns P2(a&&b):: C = 1 +936 ns P1(a||b):: C = 1 +936 ns P2(a||b):: C = 0 +940 ns P1(a&&b):: C = 1 +940 ns P2(a&&b):: C = 0 +942 ns P1(a||b):: C = 1 +942 ns P2(a||b):: C = 0 +946 ns P1(a&&b):: C = 0 +946 ns P2(a&&b):: C = 1 +948 ns P1(a||b):: C = 0 +948 ns P2(a||b):: C = 1 +952 ns P1(a&&b):: C = 1 +952 ns P2(a&&b):: C = 0 +954 ns P1(a||b):: C = 0 +954 ns P2(a||b):: C = 1 +958 ns P1(a&&b):: C = 0 +958 ns P2(a&&b):: C = 1 +960 ns P1(a||b):: C = 1 +960 ns P2(a||b):: C = 0 +964 ns P1(a&&b):: C = 0 +964 ns P2(a&&b):: C = 1 +966 ns P1(a||b):: C = 1 +966 ns P2(a||b):: C = 0 +970 ns P1(a&&b):: C = 0 +970 ns P2(a&&b):: C = 1 +972 ns P1(a||b):: C = 1 +972 ns P2(a||b):: C = 0 +976 ns P1(a&&b):: C = 0 +976 ns P2(a&&b):: C = 1 +978 ns P1(a||b):: C = 1 +978 ns P2(a||b):: C = 0 +982 ns P1(a&&b):: C = 0 +982 ns P2(a&&b):: C = 1 +984 ns P1(a||b):: C = 1 +984 ns P2(a||b):: C = 0 +988 ns P1(a&&b):: C = 0 +988 ns P2(a&&b):: C = 1 +990 ns P1(a||b):: C = 0 +990 ns P2(a||b):: C = 1 +994 ns P1(a&&b):: C = 0 +994 ns P2(a&&b):: C = 1 +996 ns P1(a||b):: C = 1 +996 ns P2(a||b):: C = 0 +1 us P1(a&&b):: C = 0 +1 us P2(a&&b):: C = 1 +1002 ns P1(a||b):: C = 0 +1002 ns P2(a||b):: C = 1 +1006 ns P1(a&&b):: C = 0 +1006 ns P2(a&&b):: C = 1 +1008 ns P1(a||b):: C = 1 +1008 ns P2(a||b):: C = 0 +1012 ns P1(a&&b):: C = 0 +1012 ns P2(a&&b):: C = 1 +1014 ns P1(a||b):: C = 1 +1014 ns P2(a||b):: C = 0 +1018 ns P1(a&&b):: C = 0 +1018 ns P2(a&&b):: C = 1 +1020 ns P1(a||b):: C = 1 +1020 ns P2(a||b):: C = 0 +1024 ns P1(a&&b):: C = 0 +1024 ns P2(a&&b):: C = 1 +1026 ns P1(a||b):: C = 1 +1026 ns P2(a||b):: C = 0 +1030 ns P1(a&&b):: C = 0 +1030 ns P2(a&&b):: C = 1 +1032 ns P1(a||b):: C = 1 +1032 ns P2(a||b):: C = 0 +1036 ns P1(a&&b):: C = 1 +1036 ns P2(a&&b):: C = 0 +1038 ns P1(a||b):: C = 1 +1038 ns P2(a||b):: C = 0 +1042 ns P1(a&&b):: C = 0 +1042 ns P2(a&&b):: C = 1 +1044 ns P1(a||b):: C = 1 +1044 ns P2(a||b):: C = 0 +1048 ns P1(a&&b):: C = 0 +1048 ns P2(a&&b):: C = 1 +1050 ns P1(a||b):: C = 1 +1050 ns P2(a||b):: C = 0 +1054 ns P1(a&&b):: C = 0 +1054 ns P2(a&&b):: C = 1 +1056 ns P1(a||b):: C = 0 +1056 ns P2(a||b):: C = 1 +1060 ns P1(a&&b):: C = 0 +1060 ns P2(a&&b):: C = 1 +1062 ns P1(a||b):: C = 1 +1062 ns P2(a||b):: C = 0 +1066 ns P1(a&&b):: C = 0 +1066 ns P2(a&&b):: C = 1 +1068 ns P1(a||b):: C = 1 +1068 ns P2(a||b):: C = 0 +1072 ns P1(a&&b):: C = 0 +1072 ns P2(a&&b):: C = 1 +1074 ns P1(a||b):: C = 0 +1074 ns P2(a||b):: C = 1 +1078 ns P1(a&&b):: C = 0 +1078 ns P2(a&&b):: C = 1 +1080 ns P1(a||b):: C = 0 +1080 ns P2(a||b):: C = 1 +1084 ns P1(a&&b):: C = 1 +1084 ns P2(a&&b):: C = 0 +1086 ns P1(a||b):: C = 0 +1086 ns P2(a||b):: C = 1 +1090 ns P1(a&&b):: C = 1 +1090 ns P2(a&&b):: C = 0 +1092 ns P1(a||b):: C = 1 +1092 ns P2(a||b):: C = 0 +1096 ns P1(a&&b):: C = 0 +1096 ns P2(a&&b):: C = 1 +1098 ns P1(a||b):: C = 1 +1098 ns P2(a||b):: C = 0 +1102 ns P1(a&&b):: C = 0 +1102 ns P2(a&&b):: C = 1 +1104 ns P1(a||b):: C = 1 +1104 ns P2(a||b):: C = 0 +1108 ns P1(a&&b):: C = 0 +1108 ns P2(a&&b):: C = 1 +1110 ns P1(a||b):: C = 1 +1110 ns P2(a||b):: C = 0 +1114 ns P1(a&&b):: C = 0 +1114 ns P2(a&&b):: C = 1 +1116 ns P1(a||b):: C = 1 +1116 ns P2(a||b):: C = 0 +1120 ns P1(a&&b):: C = 0 +1120 ns P2(a&&b):: C = 1 +1122 ns P1(a||b):: C = 0 +1122 ns P2(a||b):: C = 1 +1126 ns P1(a&&b):: C = 0 +1126 ns P2(a&&b):: C = 1 +1128 ns P1(a||b):: C = 1 +1128 ns P2(a||b):: C = 0 +1132 ns P1(a&&b):: C = 1 +1132 ns P2(a&&b):: C = 0 +1134 ns P1(a||b):: C = 0 +1134 ns P2(a||b):: C = 1 +1138 ns P1(a&&b):: C = 1 +1138 ns P2(a&&b):: C = 0 +1140 ns P1(a||b):: C = 1 +1140 ns P2(a||b):: C = 0 +1144 ns P1(a&&b):: C = 1 +1144 ns P2(a&&b):: C = 0 +1146 ns P1(a||b):: C = 0 +1146 ns P2(a||b):: C = 1 +1150 ns P1(a&&b):: C = 1 +1150 ns P2(a&&b):: C = 0 +1152 ns P1(a||b):: C = 1 +1152 ns P2(a||b):: C = 0 +1156 ns P1(a&&b):: C = 1 +1156 ns P2(a&&b):: C = 0 +1158 ns P1(a||b):: C = 0 +1158 ns P2(a||b):: C = 1 +1162 ns P1(a&&b):: C = 0 +1162 ns P2(a&&b):: C = 1 +1164 ns P1(a||b):: C = 1 +1164 ns P2(a||b):: C = 0 +1168 ns P1(a&&b):: C = 0 +1168 ns P2(a&&b):: C = 1 +1170 ns P1(a||b):: C = 0 +1170 ns P2(a||b):: C = 1 +1174 ns P1(a&&b):: C = 0 +1174 ns P2(a&&b):: C = 1 +1176 ns P1(a||b):: C = 1 +1176 ns P2(a||b):: C = 0 +1180 ns P1(a&&b):: C = 1 +1180 ns P2(a&&b):: C = 0 +1182 ns P1(a||b):: C = 1 +1182 ns P2(a||b):: C = 0 +1186 ns P1(a&&b):: C = 0 +1186 ns P2(a&&b):: C = 1 +1188 ns P1(a||b):: C = 1 +1188 ns P2(a||b):: C = 0 +1192 ns P1(a&&b):: C = 0 +1192 ns P2(a&&b):: C = 1 +1194 ns P1(a||b):: C = 1 +1194 ns P2(a||b):: C = 0 +1198 ns P1(a&&b):: C = 0 +1198 ns P2(a&&b):: C = 1 +1200 ns P1(a||b):: C = 1 +1200 ns P2(a||b):: C = 0 +1204 ns P1(a&&b):: C = 0 +1204 ns P2(a&&b):: C = 1 +1206 ns P1(a||b):: C = 1 +1206 ns P2(a||b):: C = 0 +1210 ns P1(a&&b):: C = 0 +1210 ns P2(a&&b):: C = 1 +1212 ns P1(a||b):: C = 1 +1212 ns P2(a||b):: C = 0 +1216 ns P1(a&&b):: C = 0 +1216 ns P2(a&&b):: C = 1 +1218 ns P1(a||b):: C = 1 +1218 ns P2(a||b):: C = 0 +1222 ns P1(a&&b):: C = 0 +1222 ns P2(a&&b):: C = 1 +1224 ns P1(a||b):: C = 1 +1224 ns P2(a||b):: C = 0 +1228 ns P1(a&&b):: C = 1 +1228 ns P2(a&&b):: C = 0 +1230 ns P1(a||b):: C = 1 +1230 ns P2(a||b):: C = 0 +1234 ns P1(a&&b):: C = 1 +1234 ns P2(a&&b):: C = 0 +1236 ns P1(a||b):: C = 1 +1236 ns P2(a||b):: C = 0 +1240 ns P1(a&&b):: C = 0 +1240 ns P2(a&&b):: C = 1 +1242 ns P1(a||b):: C = 0 +1242 ns P2(a||b):: C = 1 +1246 ns P1(a&&b):: C = 1 +1246 ns P2(a&&b):: C = 0 +1248 ns P1(a||b):: C = 1 +1248 ns P2(a||b):: C = 0 +1252 ns P1(a&&b):: C = 1 +1252 ns P2(a&&b):: C = 0 +1254 ns P1(a||b):: C = 1 +1254 ns P2(a||b):: C = 0 +1258 ns P1(a&&b):: C = 0 +1258 ns P2(a&&b):: C = 1 +1260 ns P1(a||b):: C = 1 +1260 ns P2(a||b):: C = 0 +1264 ns P1(a&&b):: C = 0 +1264 ns P2(a&&b):: C = 1 +1266 ns P1(a||b):: C = 1 +1266 ns P2(a||b):: C = 0 +1270 ns P1(a&&b):: C = 0 +1270 ns P2(a&&b):: C = 1 +1272 ns P1(a||b):: C = 1 +1272 ns P2(a||b):: C = 0 +1276 ns P1(a&&b):: C = 0 +1276 ns P2(a&&b):: C = 1 +1278 ns P1(a||b):: C = 1 +1278 ns P2(a||b):: C = 0 +1282 ns P1(a&&b):: C = 0 +1282 ns P2(a&&b):: C = 1 +1284 ns P1(a||b):: C = 1 +1284 ns P2(a||b):: C = 0 +1288 ns P1(a&&b):: C = 0 +1288 ns P2(a&&b):: C = 1 +1290 ns P1(a||b):: C = 1 +1290 ns P2(a||b):: C = 0 +1294 ns P1(a&&b):: C = 0 +1294 ns P2(a&&b):: C = 1 +1296 ns P1(a||b):: C = 1 +1296 ns P2(a||b):: C = 0 +1300 ns P1(a&&b):: C = 0 +1300 ns P2(a&&b):: C = 1 +1302 ns P1(a||b):: C = 0 +1302 ns P2(a||b):: C = 1 +1306 ns P1(a&&b):: C = 0 +1306 ns P2(a&&b):: C = 1 +1308 ns P1(a||b):: C = 1 +1308 ns P2(a||b):: C = 0 +1312 ns P1(a&&b):: C = 0 +1312 ns P2(a&&b):: C = 1 +1314 ns P1(a||b):: C = 1 +1314 ns P2(a||b):: C = 0 +1318 ns P1(a&&b):: C = 0 +1318 ns P2(a&&b):: C = 1 +1320 ns P1(a||b):: C = 1 +1320 ns P2(a||b):: C = 0 +1324 ns P1(a&&b):: C = 0 +1324 ns P2(a&&b):: C = 1 +1326 ns P1(a||b):: C = 1 +1326 ns P2(a||b):: C = 0 +1330 ns P1(a&&b):: C = 0 +1330 ns P2(a&&b):: C = 1 +1332 ns P1(a||b):: C = 0 +1332 ns P2(a||b):: C = 1 +1336 ns P1(a&&b):: C = 0 +1336 ns P2(a&&b):: C = 1 +1338 ns P1(a||b):: C = 0 +1338 ns P2(a||b):: C = 1 +1342 ns P1(a&&b):: C = 0 +1342 ns P2(a&&b):: C = 1 +1344 ns P1(a||b):: C = 1 +1344 ns P2(a||b):: C = 0 +1348 ns P1(a&&b):: C = 0 +1348 ns P2(a&&b):: C = 1 +1350 ns P1(a||b):: C = 1 +1350 ns P2(a||b):: C = 0 +1354 ns P1(a&&b):: C = 1 +1354 ns P2(a&&b):: C = 0 +1356 ns P1(a||b):: C = 1 +1356 ns P2(a||b):: C = 0 +1360 ns P1(a&&b):: C = 0 +1360 ns P2(a&&b):: C = 1 +1362 ns P1(a||b):: C = 1 +1362 ns P2(a||b):: C = 0 +1366 ns P1(a&&b):: C = 0 +1366 ns P2(a&&b):: C = 1 +1368 ns P1(a||b):: C = 1 +1368 ns P2(a||b):: C = 0 +1372 ns P1(a&&b):: C = 0 +1372 ns P2(a&&b):: C = 1 +1374 ns P1(a||b):: C = 0 +1374 ns P2(a||b):: C = 1 +1378 ns P1(a&&b):: C = 0 +1378 ns P2(a&&b):: C = 1 +1380 ns P1(a||b):: C = 1 +1380 ns P2(a||b):: C = 0 +1384 ns P1(a&&b):: C = 0 +1384 ns P2(a&&b):: C = 1 +1386 ns P1(a||b):: C = 1 +1386 ns P2(a||b):: C = 0 +1390 ns P1(a&&b):: C = 1 +1390 ns P2(a&&b):: C = 0 +1392 ns P1(a||b):: C = 1 +1392 ns P2(a||b):: C = 0 +1396 ns P1(a&&b):: C = 0 +1396 ns P2(a&&b):: C = 1 +1398 ns P1(a||b):: C = 1 +1398 ns P2(a||b):: C = 0 +1402 ns P1(a&&b):: C = 0 +1402 ns P2(a&&b):: C = 1 +1404 ns P1(a||b):: C = 1 +1404 ns P2(a||b):: C = 0 +1408 ns P1(a&&b):: C = 1 +1408 ns P2(a&&b):: C = 0 +1410 ns P1(a||b):: C = 1 +1410 ns P2(a||b):: C = 0 +1414 ns P1(a&&b):: C = 1 +1414 ns P2(a&&b):: C = 0 +1416 ns P1(a||b):: C = 1 +1416 ns P2(a||b):: C = 0 +1420 ns P1(a&&b):: C = 0 +1420 ns P2(a&&b):: C = 1 +1422 ns P1(a||b):: C = 1 +1422 ns P2(a||b):: C = 0 +1426 ns P1(a&&b):: C = 0 +1426 ns P2(a&&b):: C = 1 +1428 ns P1(a||b):: C = 1 +1428 ns P2(a||b):: C = 0 +1432 ns P1(a&&b):: C = 0 +1432 ns P2(a&&b):: C = 1 +1434 ns P1(a||b):: C = 1 +1434 ns P2(a||b):: C = 0 +1438 ns P1(a&&b):: C = 0 +1438 ns P2(a&&b):: C = 1 +1440 ns P1(a||b):: C = 0 +1440 ns P2(a||b):: C = 1 +1444 ns P1(a&&b):: C = 0 +1444 ns P2(a&&b):: C = 1 +1446 ns P1(a||b):: C = 1 +1446 ns P2(a||b):: C = 0 +1450 ns P1(a&&b):: C = 0 +1450 ns P2(a&&b):: C = 1 +1452 ns P1(a||b):: C = 0 +1452 ns P2(a||b):: C = 1 +1456 ns P1(a&&b):: C = 0 +1456 ns P2(a&&b):: C = 1 +1458 ns P1(a||b):: C = 1 +1458 ns P2(a||b):: C = 0 +1462 ns P1(a&&b):: C = 0 +1462 ns P2(a&&b):: C = 1 +1464 ns P1(a||b):: C = 1 +1464 ns P2(a||b):: C = 0 +1468 ns P1(a&&b):: C = 1 +1468 ns P2(a&&b):: C = 0 +1470 ns P1(a||b):: C = 1 +1470 ns P2(a||b):: C = 0 +1474 ns P1(a&&b):: C = 0 +1474 ns P2(a&&b):: C = 1 +1476 ns P1(a||b):: C = 0 +1476 ns P2(a||b):: C = 1 +1480 ns P1(a&&b):: C = 1 +1480 ns P2(a&&b):: C = 0 +1482 ns P1(a||b):: C = 1 +1482 ns P2(a||b):: C = 0 +1486 ns P1(a&&b):: C = 0 +1486 ns P2(a&&b):: C = 1 +1488 ns P1(a||b):: C = 1 +1488 ns P2(a||b):: C = 0 +1492 ns P1(a&&b):: C = 0 +1492 ns P2(a&&b):: C = 1 +1494 ns P1(a||b):: C = 1 +1494 ns P2(a||b):: C = 0 +1498 ns P1(a&&b):: C = 1 +1498 ns P2(a&&b):: C = 0 +1500 ns P1(a||b):: C = 1 +1500 ns P2(a||b):: C = 0 +1504 ns P1(a&&b):: C = 1 +1504 ns P2(a&&b):: C = 0 +1506 ns P1(a||b):: C = 1 +1506 ns P2(a||b):: C = 0 +1510 ns P1(a&&b):: C = 0 +1510 ns P2(a&&b):: C = 1 +1512 ns P1(a||b):: C = 1 +1512 ns P2(a||b):: C = 0 +1516 ns P1(a&&b):: C = 0 +1516 ns P2(a&&b):: C = 1 +1518 ns P1(a||b):: C = 1 +1518 ns P2(a||b):: C = 0 +1522 ns P1(a&&b):: C = 0 +1522 ns P2(a&&b):: C = 1 +1524 ns P1(a||b):: C = 1 +1524 ns P2(a||b):: C = 0 +1528 ns P1(a&&b):: C = 1 +1528 ns P2(a&&b):: C = 0 +1530 ns P1(a||b):: C = 1 +1530 ns P2(a||b):: C = 0 +1534 ns P1(a&&b):: C = 1 +1534 ns P2(a&&b):: C = 0 +1536 ns P1(a||b):: C = 1 +1536 ns P2(a||b):: C = 0 +1540 ns P1(a&&b):: C = 1 +1540 ns P2(a&&b):: C = 0 +1542 ns P1(a||b):: C = 0 +1542 ns P2(a||b):: C = 1 +1546 ns P1(a&&b):: C = 0 +1546 ns P2(a&&b):: C = 1 +1548 ns P1(a||b):: C = 1 +1548 ns P2(a||b):: C = 0 +1552 ns P1(a&&b):: C = 0 +1552 ns P2(a&&b):: C = 1 +1554 ns P1(a||b):: C = 0 +1554 ns P2(a||b):: C = 1 +1558 ns P1(a&&b):: C = 0 +1558 ns P2(a&&b):: C = 1 +1560 ns P1(a||b):: C = 1 +1560 ns P2(a||b):: C = 0 +1564 ns P1(a&&b):: C = 0 +1564 ns P2(a&&b):: C = 1 +1566 ns P1(a||b):: C = 1 +1566 ns P2(a||b):: C = 0 +1570 ns P1(a&&b):: C = 0 +1570 ns P2(a&&b):: C = 1 +1572 ns P1(a||b):: C = 1 +1572 ns P2(a||b):: C = 0 +1576 ns P1(a&&b):: C = 1 +1576 ns P2(a&&b):: C = 0 +1578 ns P1(a||b):: C = 1 +1578 ns P2(a||b):: C = 0 +1582 ns P1(a&&b):: C = 0 +1582 ns P2(a&&b):: C = 1 +1584 ns P1(a||b):: C = 1 +1584 ns P2(a||b):: C = 0 +1588 ns P1(a&&b):: C = 0 +1588 ns P2(a&&b):: C = 1 +1590 ns P1(a||b):: C = 1 +1590 ns P2(a||b):: C = 0 +1594 ns P1(a&&b):: C = 0 +1594 ns P2(a&&b):: C = 1 +1596 ns P1(a||b):: C = 1 +1596 ns P2(a||b):: C = 0 +1600 ns P1(a&&b):: C = 0 +1600 ns P2(a&&b):: C = 1 +1602 ns P1(a||b):: C = 1 +1602 ns P2(a||b):: C = 0 +1606 ns P1(a&&b):: C = 1 +1606 ns P2(a&&b):: C = 0 +1608 ns P1(a||b):: C = 1 +1608 ns P2(a||b):: C = 0 +1612 ns P1(a&&b):: C = 1 +1612 ns P2(a&&b):: C = 0 +1614 ns P1(a||b):: C = 0 +1614 ns P2(a||b):: C = 1 +1618 ns P1(a&&b):: C = 1 +1618 ns P2(a&&b):: C = 0 +1620 ns P1(a||b):: C = 1 +1620 ns P2(a||b):: C = 0 +1624 ns P1(a&&b):: C = 0 +1624 ns P2(a&&b):: C = 1 +1626 ns P1(a||b):: C = 0 +1626 ns P2(a||b):: C = 1 +1630 ns P1(a&&b):: C = 0 +1630 ns P2(a&&b):: C = 1 +1632 ns P1(a||b):: C = 1 +1632 ns P2(a||b):: C = 0 +1636 ns P1(a&&b):: C = 0 +1636 ns P2(a&&b):: C = 1 +1638 ns P1(a||b):: C = 0 +1638 ns P2(a||b):: C = 1 +1642 ns P1(a&&b):: C = 1 +1642 ns P2(a&&b):: C = 0 +1644 ns P1(a||b):: C = 0 +1644 ns P2(a||b):: C = 1 +1648 ns P1(a&&b):: C = 0 +1648 ns P2(a&&b):: C = 1 +1650 ns P1(a||b):: C = 0 +1650 ns P2(a||b):: C = 1 +1654 ns P1(a&&b):: C = 0 +1654 ns P2(a&&b):: C = 1 +1656 ns P1(a||b):: C = 0 +1656 ns P2(a||b):: C = 1 +1660 ns P1(a&&b):: C = 1 +1660 ns P2(a&&b):: C = 0 +1662 ns P1(a||b):: C = 1 +1662 ns P2(a||b):: C = 0 +1666 ns P1(a&&b):: C = 1 +1666 ns P2(a&&b):: C = 0 +1668 ns P1(a||b):: C = 1 +1668 ns P2(a||b):: C = 0 +1672 ns P1(a&&b):: C = 0 +1672 ns P2(a&&b):: C = 1 +1674 ns P1(a||b):: C = 0 +1674 ns P2(a||b):: C = 1 +1678 ns P1(a&&b):: C = 0 +1678 ns P2(a&&b):: C = 1 +1680 ns P1(a||b):: C = 1 +1680 ns P2(a||b):: C = 0 +1684 ns P1(a&&b):: C = 1 +1684 ns P2(a&&b):: C = 0 +1686 ns P1(a||b):: C = 1 +1686 ns P2(a||b):: C = 0 +1690 ns P1(a&&b):: C = 0 +1690 ns P2(a&&b):: C = 1 +1692 ns P1(a||b):: C = 1 +1692 ns P2(a||b):: C = 0 +1696 ns P1(a&&b):: C = 0 +1696 ns P2(a&&b):: C = 1 +1698 ns P1(a||b):: C = 1 +1698 ns P2(a||b):: C = 0 +1702 ns P1(a&&b):: C = 1 +1702 ns P2(a&&b):: C = 0 +1704 ns P1(a||b):: C = 1 +1704 ns P2(a||b):: C = 0 +1708 ns P1(a&&b):: C = 0 +1708 ns P2(a&&b):: C = 1 +1710 ns P1(a||b):: C = 1 +1710 ns P2(a||b):: C = 0 +1714 ns P1(a&&b):: C = 0 +1714 ns P2(a&&b):: C = 1 +1716 ns P1(a||b):: C = 1 +1716 ns P2(a||b):: C = 0 +1720 ns P1(a&&b):: C = 0 +1720 ns P2(a&&b):: C = 1 +1722 ns P1(a||b):: C = 1 +1722 ns P2(a||b):: C = 0 +1726 ns P1(a&&b):: C = 0 +1726 ns P2(a&&b):: C = 1 +1728 ns P1(a||b):: C = 1 +1728 ns P2(a||b):: C = 0 +1732 ns P1(a&&b):: C = 1 +1732 ns P2(a&&b):: C = 0 +1734 ns P1(a||b):: C = 0 +1734 ns P2(a||b):: C = 1 +1738 ns P1(a&&b):: C = 0 +1738 ns P2(a&&b):: C = 1 +1740 ns P1(a||b):: C = 1 +1740 ns P2(a||b):: C = 0 +1744 ns P1(a&&b):: C = 1 +1744 ns P2(a&&b):: C = 0 +1746 ns P1(a||b):: C = 1 +1746 ns P2(a||b):: C = 0 +1750 ns P1(a&&b):: C = 0 +1750 ns P2(a&&b):: C = 1 +1752 ns P1(a||b):: C = 1 +1752 ns P2(a||b):: C = 0 +1756 ns P1(a&&b):: C = 0 +1756 ns P2(a&&b):: C = 1 +1758 ns P1(a||b):: C = 1 +1758 ns P2(a||b):: C = 0 +1762 ns P1(a&&b):: C = 0 +1762 ns P2(a&&b):: C = 1 +1764 ns P1(a||b):: C = 1 +1764 ns P2(a||b):: C = 0 +1768 ns P1(a&&b):: C = 0 +1768 ns P2(a&&b):: C = 1 +1770 ns P1(a||b):: C = 1 +1770 ns P2(a||b):: C = 0 +1774 ns P1(a&&b):: C = 1 +1774 ns P2(a&&b):: C = 0 +1776 ns P1(a||b):: C = 1 +1776 ns P2(a||b):: C = 0 +1780 ns P1(a&&b):: C = 0 +1780 ns P2(a&&b):: C = 1 +1782 ns P1(a||b):: C = 1 +1782 ns P2(a||b):: C = 0 +1786 ns P1(a&&b):: C = 0 +1786 ns P2(a&&b):: C = 1 +1788 ns P1(a||b):: C = 1 +1788 ns P2(a||b):: C = 0 +1792 ns P1(a&&b):: C = 0 +1792 ns P2(a&&b):: C = 1 +1794 ns P1(a||b):: C = 1 +1794 ns P2(a||b):: C = 0 +1798 ns P1(a&&b):: C = 0 +1798 ns P2(a&&b):: C = 1 +1800 ns P1(a||b):: C = 1 +1800 ns P2(a||b):: C = 0 +1804 ns P1(a&&b):: C = 1 +1804 ns P2(a&&b):: C = 0 +1806 ns P1(a||b):: C = 0 +1806 ns P2(a||b):: C = 1 +1810 ns P1(a&&b):: C = 1 +1810 ns P2(a&&b):: C = 0 +1812 ns P1(a||b):: C = 0 +1812 ns P2(a||b):: C = 1 +1816 ns P1(a&&b):: C = 0 +1816 ns P2(a&&b):: C = 1 +1818 ns P1(a||b):: C = 1 +1818 ns P2(a||b):: C = 0 +1822 ns P1(a&&b):: C = 1 +1822 ns P2(a&&b):: C = 0 +1824 ns P1(a||b):: C = 0 +1824 ns P2(a||b):: C = 1 +1828 ns P1(a&&b):: C = 0 +1828 ns P2(a&&b):: C = 1 +1830 ns P1(a||b):: C = 1 +1830 ns P2(a||b):: C = 0 +1834 ns P1(a&&b):: C = 1 +1834 ns P2(a&&b):: C = 0 +1836 ns P1(a||b):: C = 0 +1836 ns P2(a||b):: C = 1 +1840 ns P1(a&&b):: C = 0 +1840 ns P2(a&&b):: C = 1 +1842 ns P1(a||b):: C = 1 +1842 ns P2(a||b):: C = 0 +1846 ns P1(a&&b):: C = 1 +1846 ns P2(a&&b):: C = 0 +1848 ns P1(a||b):: C = 0 +1848 ns P2(a||b):: C = 1 +1852 ns P1(a&&b):: C = 0 +1852 ns P2(a&&b):: C = 1 +1854 ns P1(a||b):: C = 0 +1854 ns P2(a||b):: C = 1 +1858 ns P1(a&&b):: C = 0 +1858 ns P2(a&&b):: C = 1 +1860 ns P1(a||b):: C = 1 +1860 ns P2(a||b):: C = 0 +1864 ns P1(a&&b):: C = 1 +1864 ns P2(a&&b):: C = 0 +1866 ns P1(a||b):: C = 0 +1866 ns P2(a||b):: C = 1 +1870 ns P1(a&&b):: C = 0 +1870 ns P2(a&&b):: C = 1 +1872 ns P1(a||b):: C = 1 +1872 ns P2(a||b):: C = 0 +1876 ns P1(a&&b):: C = 0 +1876 ns P2(a&&b):: C = 1 +1878 ns P1(a||b):: C = 1 +1878 ns P2(a||b):: C = 0 +1882 ns P1(a&&b):: C = 0 +1882 ns P2(a&&b):: C = 1 +1884 ns P1(a||b):: C = 1 +1884 ns P2(a||b):: C = 0 +1888 ns P1(a&&b):: C = 1 +1888 ns P2(a&&b):: C = 0 +1890 ns P1(a||b):: C = 1 +1890 ns P2(a||b):: C = 0 +1894 ns P1(a&&b):: C = 0 +1894 ns P2(a&&b):: C = 1 +1896 ns P1(a||b):: C = 1 +1896 ns P2(a||b):: C = 0 +1900 ns P1(a&&b):: C = 0 +1900 ns P2(a&&b):: C = 1 +1902 ns P1(a||b):: C = 1 +1902 ns P2(a||b):: C = 0 +1906 ns P1(a&&b):: C = 0 +1906 ns P2(a&&b):: C = 1 +1908 ns P1(a||b):: C = 1 +1908 ns P2(a||b):: C = 0 +1912 ns P1(a&&b):: C = 0 +1912 ns P2(a&&b):: C = 1 +1914 ns P1(a||b):: C = 1 +1914 ns P2(a||b):: C = 0 +1918 ns P1(a&&b):: C = 0 +1918 ns P2(a&&b):: C = 1 +1920 ns P1(a||b):: C = 1 +1920 ns P2(a||b):: C = 0 +1924 ns P1(a&&b):: C = 0 +1924 ns P2(a&&b):: C = 1 +1926 ns P1(a||b):: C = 1 +1926 ns P2(a||b):: C = 0 +1930 ns P1(a&&b):: C = 0 +1930 ns P2(a&&b):: C = 1 +1932 ns P1(a||b):: C = 1 +1932 ns P2(a||b):: C = 0 +1936 ns P1(a&&b):: C = 0 +1936 ns P2(a&&b):: C = 1 +1938 ns P1(a||b):: C = 1 +1938 ns P2(a||b):: C = 0 +1942 ns P1(a&&b):: C = 0 +1942 ns P2(a&&b):: C = 1 +1944 ns P1(a||b):: C = 1 +1944 ns P2(a||b):: C = 0 +1948 ns P1(a&&b):: C = 1 +1948 ns P2(a&&b):: C = 0 +1950 ns P1(a||b):: C = 1 +1950 ns P2(a||b):: C = 0 +1954 ns P1(a&&b):: C = 0 +1954 ns P2(a&&b):: C = 1 +1956 ns P1(a||b):: C = 1 +1956 ns P2(a||b):: C = 0 +1960 ns P1(a&&b):: C = 1 +1960 ns P2(a&&b):: C = 0 +1962 ns P1(a||b):: C = 1 +1962 ns P2(a||b):: C = 0 +1966 ns P1(a&&b):: C = 0 +1966 ns P2(a&&b):: C = 1 +1968 ns P1(a||b):: C = 1 +1968 ns P2(a||b):: C = 0 +1972 ns P1(a&&b):: C = 0 +1972 ns P2(a&&b):: C = 1 +1974 ns P1(a||b):: C = 1 +1974 ns P2(a||b):: C = 0 +1978 ns P1(a&&b):: C = 0 +1978 ns P2(a&&b):: C = 1 +1980 ns P1(a||b):: C = 1 +1980 ns P2(a||b):: C = 0 +1984 ns P1(a&&b):: C = 0 +1984 ns P2(a&&b):: C = 1 +1986 ns P1(a||b):: C = 0 +1986 ns P2(a||b):: C = 1 +1990 ns P1(a&&b):: C = 0 +1990 ns P2(a&&b):: C = 1 +1992 ns P1(a||b):: C = 1 +1992 ns P2(a||b):: C = 0 +1996 ns P1(a&&b):: C = 0 +1996 ns P2(a&&b):: C = 1 +1998 ns P1(a||b):: C = 0 +1998 ns P2(a||b):: C = 1 +2002 ns P1(a&&b):: C = 0 +2002 ns P2(a&&b):: C = 1 +2004 ns P1(a||b):: C = 1 +2004 ns P2(a||b):: C = 0 +2008 ns P1(a&&b):: C = 0 +2008 ns P2(a&&b):: C = 1 +2010 ns P1(a||b):: C = 1 +2010 ns P2(a||b):: C = 0 +2014 ns P1(a&&b):: C = 0 +2014 ns P2(a&&b):: C = 1 +2016 ns P1(a||b):: C = 1 +2016 ns P2(a||b):: C = 0 +2020 ns P1(a&&b):: C = 0 +2020 ns P2(a&&b):: C = 1 +2022 ns P1(a||b):: C = 1 +2022 ns P2(a||b):: C = 0 +2026 ns P1(a&&b):: C = 0 +2026 ns P2(a&&b):: C = 1 +2028 ns P1(a||b):: C = 1 +2028 ns P2(a||b):: C = 0 +2032 ns P1(a&&b):: C = 0 +2032 ns P2(a&&b):: C = 1 +2034 ns P1(a||b):: C = 0 +2034 ns P2(a||b):: C = 1 +2038 ns P1(a&&b):: C = 0 +2038 ns P2(a&&b):: C = 1 +2040 ns P1(a||b):: C = 0 +2040 ns P2(a||b):: C = 1 +2044 ns P1(a&&b):: C = 0 +2044 ns P2(a&&b):: C = 1 +2046 ns P1(a||b):: C = 1 +2046 ns P2(a||b):: C = 0 +2050 ns P1(a&&b):: C = 0 +2050 ns P2(a&&b):: C = 1 +2052 ns P1(a||b):: C = 1 +2052 ns P2(a||b):: C = 0 +2056 ns P1(a&&b):: C = 0 +2056 ns P2(a&&b):: C = 1 +2058 ns P1(a||b):: C = 1 +2058 ns P2(a||b):: C = 0 +2062 ns P1(a&&b):: C = 0 +2062 ns P2(a&&b):: C = 1 +2064 ns P1(a||b):: C = 1 +2064 ns P2(a||b):: C = 0 +2068 ns P1(a&&b):: C = 1 +2068 ns P2(a&&b):: C = 0 +2070 ns P1(a||b):: C = 1 +2070 ns P2(a||b):: C = 0 +2074 ns P1(a&&b):: C = 1 +2074 ns P2(a&&b):: C = 0 +2076 ns P1(a||b):: C = 1 +2076 ns P2(a||b):: C = 0 +2080 ns P1(a&&b):: C = 1 +2080 ns P2(a&&b):: C = 0 +2082 ns P1(a||b):: C = 0 +2082 ns P2(a||b):: C = 1 +2086 ns P1(a&&b):: C = 1 +2086 ns P2(a&&b):: C = 0 +2088 ns P1(a||b):: C = 1 +2088 ns P2(a||b):: C = 0 +2092 ns P1(a&&b):: C = 0 +2092 ns P2(a&&b):: C = 1 +2094 ns P1(a||b):: C = 1 +2094 ns P2(a||b):: C = 0 +2098 ns P1(a&&b):: C = 0 +2098 ns P2(a&&b):: C = 1 +2100 ns P1(a||b):: C = 1 +2100 ns P2(a||b):: C = 0 +2104 ns P1(a&&b):: C = 1 +2104 ns P2(a&&b):: C = 0 +2106 ns P1(a||b):: C = 1 +2106 ns P2(a||b):: C = 0 +2110 ns P1(a&&b):: C = 1 +2110 ns P2(a&&b):: C = 0 +2112 ns P1(a||b):: C = 1 +2112 ns P2(a||b):: C = 0 +2116 ns P1(a&&b):: C = 0 +2116 ns P2(a&&b):: C = 1 +2118 ns P1(a||b):: C = 0 +2118 ns P2(a||b):: C = 1 +2122 ns P1(a&&b):: C = 0 +2122 ns P2(a&&b):: C = 1 +2124 ns P1(a||b):: C = 1 +2124 ns P2(a||b):: C = 0 +2128 ns P1(a&&b):: C = 0 +2128 ns P2(a&&b):: C = 1 +2130 ns P1(a||b):: C = 1 +2130 ns P2(a||b):: C = 0 +2134 ns P1(a&&b):: C = 1 +2134 ns P2(a&&b):: C = 0 +2136 ns P1(a||b):: C = 0 +2136 ns P2(a||b):: C = 1 +2140 ns P1(a&&b):: C = 0 +2140 ns P2(a&&b):: C = 1 +2142 ns P1(a||b):: C = 1 +2142 ns P2(a||b):: C = 0 +2146 ns P1(a&&b):: C = 1 +2146 ns P2(a&&b):: C = 0 +2148 ns P1(a||b):: C = 1 +2148 ns P2(a||b):: C = 0 +2152 ns P1(a&&b):: C = 1 +2152 ns P2(a&&b):: C = 0 +2154 ns P1(a||b):: C = 1 +2154 ns P2(a||b):: C = 0 +2158 ns P1(a&&b):: C = 1 +2158 ns P2(a&&b):: C = 0 +2160 ns P1(a||b):: C = 1 +2160 ns P2(a||b):: C = 0 +2164 ns P1(a&&b):: C = 0 +2164 ns P2(a&&b):: C = 1 +2166 ns P1(a||b):: C = 1 +2166 ns P2(a||b):: C = 0 +2170 ns P1(a&&b):: C = 0 +2170 ns P2(a&&b):: C = 1 +2172 ns P1(a||b):: C = 1 +2172 ns P2(a||b):: C = 0 +2176 ns P1(a&&b):: C = 1 +2176 ns P2(a&&b):: C = 0 +2178 ns P1(a||b):: C = 1 +2178 ns P2(a||b):: C = 0 +2182 ns P1(a&&b):: C = 0 +2182 ns P2(a&&b):: C = 1 +2184 ns P1(a||b):: C = 1 +2184 ns P2(a||b):: C = 0 +2188 ns P1(a&&b):: C = 1 +2188 ns P2(a&&b):: C = 0 +2190 ns P1(a||b):: C = 1 +2190 ns P2(a||b):: C = 0 +2194 ns P1(a&&b):: C = 0 +2194 ns P2(a&&b):: C = 1 +2196 ns P1(a||b):: C = 0 +2196 ns P2(a||b):: C = 1 +2200 ns P1(a&&b):: C = 0 +2200 ns P2(a&&b):: C = 1 +2202 ns P1(a||b):: C = 1 +2202 ns P2(a||b):: C = 0 +2206 ns P1(a&&b):: C = 0 +2206 ns P2(a&&b):: C = 1 +2208 ns P1(a||b):: C = 1 +2208 ns P2(a||b):: C = 0 +2212 ns P1(a&&b):: C = 1 +2212 ns P2(a&&b):: C = 0 +2214 ns P1(a||b):: C = 1 +2214 ns P2(a||b):: C = 0 +2218 ns P1(a&&b):: C = 0 +2218 ns P2(a&&b):: C = 1 +2220 ns P1(a||b):: C = 1 +2220 ns P2(a||b):: C = 0 +2224 ns P1(a&&b):: C = 1 +2224 ns P2(a&&b):: C = 0 +2226 ns P1(a||b):: C = 0 +2226 ns P2(a||b):: C = 1 +2230 ns P1(a&&b):: C = 0 +2230 ns P2(a&&b):: C = 1 +2232 ns P1(a||b):: C = 1 +2232 ns P2(a||b):: C = 0 +2236 ns P1(a&&b):: C = 0 +2236 ns P2(a&&b):: C = 1 +2238 ns P1(a||b):: C = 1 +2238 ns P2(a||b):: C = 0 +2242 ns P1(a&&b):: C = 0 +2242 ns P2(a&&b):: C = 1 +2244 ns P1(a||b):: C = 1 +2244 ns P2(a||b):: C = 0 +2248 ns P1(a&&b):: C = 0 +2248 ns P2(a&&b):: C = 1 +2250 ns P1(a||b):: C = 1 +2250 ns P2(a||b):: C = 0 +2254 ns P1(a&&b):: C = 0 +2254 ns P2(a&&b):: C = 1 +2256 ns P1(a||b):: C = 1 +2256 ns P2(a||b):: C = 0 +2260 ns P1(a&&b):: C = 0 +2260 ns P2(a&&b):: C = 1 +2262 ns P1(a||b):: C = 1 +2262 ns P2(a||b):: C = 0 +2266 ns P1(a&&b):: C = 1 +2266 ns P2(a&&b):: C = 0 +2268 ns P1(a||b):: C = 0 +2268 ns P2(a||b):: C = 1 +2272 ns P1(a&&b):: C = 0 +2272 ns P2(a&&b):: C = 1 +2274 ns P1(a||b):: C = 1 +2274 ns P2(a||b):: C = 0 +2278 ns P1(a&&b):: C = 1 +2278 ns P2(a&&b):: C = 0 +2280 ns P1(a||b):: C = 1 +2280 ns P2(a||b):: C = 0 +2284 ns P1(a&&b):: C = 0 +2284 ns P2(a&&b):: C = 1 +2286 ns P1(a||b):: C = 1 +2286 ns P2(a||b):: C = 0 +2290 ns P1(a&&b):: C = 1 +2290 ns P2(a&&b):: C = 0 +2292 ns P1(a||b):: C = 1 +2292 ns P2(a||b):: C = 0 +2296 ns P1(a&&b):: C = 0 +2296 ns P2(a&&b):: C = 1 +2298 ns P1(a||b):: C = 1 +2298 ns P2(a||b):: C = 0 +2302 ns P1(a&&b):: C = 1 +2302 ns P2(a&&b):: C = 0 +2304 ns P1(a||b):: C = 1 +2304 ns P2(a||b):: C = 0 +2308 ns P1(a&&b):: C = 0 +2308 ns P2(a&&b):: C = 1 +2310 ns P1(a||b):: C = 1 +2310 ns P2(a||b):: C = 0 +2314 ns P1(a&&b):: C = 1 +2314 ns P2(a&&b):: C = 0 +2316 ns P1(a||b):: C = 1 +2316 ns P2(a||b):: C = 0 +2320 ns P1(a&&b):: C = 1 +2320 ns P2(a&&b):: C = 0 +2322 ns P1(a||b):: C = 0 +2322 ns P2(a||b):: C = 1 +2326 ns P1(a&&b):: C = 0 +2326 ns P2(a&&b):: C = 1 +2328 ns P1(a||b):: C = 1 +2328 ns P2(a||b):: C = 0 +2332 ns P1(a&&b):: C = 0 +2332 ns P2(a&&b):: C = 1 +2334 ns P1(a||b):: C = 1 +2334 ns P2(a||b):: C = 0 +2338 ns P1(a&&b):: C = 0 +2338 ns P2(a&&b):: C = 1 +2340 ns P1(a||b):: C = 1 +2340 ns P2(a||b):: C = 0 +2344 ns P1(a&&b):: C = 0 +2344 ns P2(a&&b):: C = 1 +2346 ns P1(a||b):: C = 0 +2346 ns P2(a||b):: C = 1 +2350 ns P1(a&&b):: C = 0 +2350 ns P2(a&&b):: C = 1 +2352 ns P1(a||b):: C = 1 +2352 ns P2(a||b):: C = 0 +2356 ns P1(a&&b):: C = 1 +2356 ns P2(a&&b):: C = 0 +2358 ns P1(a||b):: C = 0 +2358 ns P2(a||b):: C = 1 +2362 ns P1(a&&b):: C = 0 +2362 ns P2(a&&b):: C = 1 +2364 ns P1(a||b):: C = 1 +2364 ns P2(a||b):: C = 0 +2368 ns P1(a&&b):: C = 0 +2368 ns P2(a&&b):: C = 1 +2370 ns P1(a||b):: C = 1 +2370 ns P2(a||b):: C = 0 +2374 ns P1(a&&b):: C = 0 +2374 ns P2(a&&b):: C = 1 +2376 ns P1(a||b):: C = 1 +2376 ns P2(a||b):: C = 0 +2380 ns P1(a&&b):: C = 0 +2380 ns P2(a&&b):: C = 1 +2382 ns P1(a||b):: C = 1 +2382 ns P2(a||b):: C = 0 +2386 ns P1(a&&b):: C = 0 +2386 ns P2(a&&b):: C = 1 +2388 ns P1(a||b):: C = 1 +2388 ns P2(a||b):: C = 0 +2392 ns P1(a&&b):: C = 1 +2392 ns P2(a&&b):: C = 0 +2394 ns P1(a||b):: C = 1 +2394 ns P2(a||b):: C = 0 +2398 ns P1(a&&b):: C = 0 +2398 ns P2(a&&b):: C = 1 +2400 ns P1(a||b):: C = 0 +2400 ns P2(a||b):: C = 1 +2404 ns P1(a&&b):: C = 0 +2404 ns P2(a&&b):: C = 1 +2406 ns P1(a||b):: C = 1 +2406 ns P2(a||b):: C = 0 +2410 ns P1(a&&b):: C = 0 +2410 ns P2(a&&b):: C = 1 +2412 ns P1(a||b):: C = 1 +2412 ns P2(a||b):: C = 0 +2416 ns P1(a&&b):: C = 0 +2416 ns P2(a&&b):: C = 1 +2418 ns P1(a||b):: C = 1 +2418 ns P2(a||b):: C = 0 +2422 ns P1(a&&b):: C = 1 +2422 ns P2(a&&b):: C = 0 +2424 ns P1(a||b):: C = 1 +2424 ns P2(a||b):: C = 0 +2428 ns P1(a&&b):: C = 0 +2428 ns P2(a&&b):: C = 1 +2430 ns P1(a||b):: C = 0 +2430 ns P2(a||b):: C = 1 +2434 ns P1(a&&b):: C = 0 +2434 ns P2(a&&b):: C = 1 +2436 ns P1(a||b):: C = 1 +2436 ns P2(a||b):: C = 0 +2440 ns P1(a&&b):: C = 0 +2440 ns P2(a&&b):: C = 1 +2442 ns P1(a||b):: C = 1 +2442 ns P2(a||b):: C = 0 +2446 ns P1(a&&b):: C = 1 +2446 ns P2(a&&b):: C = 0 +2448 ns P1(a||b):: C = 1 +2448 ns P2(a||b):: C = 0 +2452 ns P1(a&&b):: C = 1 +2452 ns P2(a&&b):: C = 0 +2454 ns P1(a||b):: C = 0 +2454 ns P2(a||b):: C = 1 +2458 ns P1(a&&b):: C = 0 +2458 ns P2(a&&b):: C = 1 +2460 ns P1(a||b):: C = 0 +2460 ns P2(a||b):: C = 1 +2464 ns P1(a&&b):: C = 0 +2464 ns P2(a&&b):: C = 1 +2466 ns P1(a||b):: C = 0 +2466 ns P2(a||b):: C = 1 +2470 ns P1(a&&b):: C = 0 +2470 ns P2(a&&b):: C = 1 +2472 ns P1(a||b):: C = 1 +2472 ns P2(a||b):: C = 0 +2476 ns P1(a&&b):: C = 0 +2476 ns P2(a&&b):: C = 1 +2478 ns P1(a||b):: C = 1 +2478 ns P2(a||b):: C = 0 +2482 ns P1(a&&b):: C = 1 +2482 ns P2(a&&b):: C = 0 +2484 ns P1(a||b):: C = 1 +2484 ns P2(a||b):: C = 0 +2488 ns P1(a&&b):: C = 1 +2488 ns P2(a&&b):: C = 0 +2490 ns P1(a||b):: C = 0 +2490 ns P2(a||b):: C = 1 +2494 ns P1(a&&b):: C = 1 +2494 ns P2(a&&b):: C = 0 +2496 ns P1(a||b):: C = 1 +2496 ns P2(a||b):: C = 0 +2500 ns P1(a&&b):: C = 0 +2500 ns P2(a&&b):: C = 1 +2502 ns P1(a||b):: C = 1 +2502 ns P2(a||b):: C = 0 +2506 ns P1(a&&b):: C = 0 +2506 ns P2(a&&b):: C = 1 +2508 ns P1(a||b):: C = 0 +2508 ns P2(a||b):: C = 1 +2512 ns P1(a&&b):: C = 1 +2512 ns P2(a&&b):: C = 0 +2514 ns P1(a||b):: C = 0 +2514 ns P2(a||b):: C = 1 +2518 ns P1(a&&b):: C = 0 +2518 ns P2(a&&b):: C = 1 +2520 ns P1(a||b):: C = 0 +2520 ns P2(a||b):: C = 1 +2524 ns P1(a&&b):: C = 0 +2524 ns P2(a&&b):: C = 1 +2526 ns P1(a||b):: C = 0 +2526 ns P2(a||b):: C = 1 +2530 ns P1(a&&b):: C = 0 +2530 ns P2(a&&b):: C = 1 +2532 ns P1(a||b):: C = 0 +2532 ns P2(a||b):: C = 1 +2536 ns P1(a&&b):: C = 0 +2536 ns P2(a&&b):: C = 1 +2538 ns P1(a||b):: C = 1 +2538 ns P2(a||b):: C = 0 +2542 ns P1(a&&b):: C = 0 +2542 ns P2(a&&b):: C = 1 +2544 ns P1(a||b):: C = 0 +2544 ns P2(a||b):: C = 1 +2548 ns P1(a&&b):: C = 0 +2548 ns P2(a&&b):: C = 1 +2550 ns P1(a||b):: C = 1 +2550 ns P2(a||b):: C = 0 +2554 ns P1(a&&b):: C = 0 +2554 ns P2(a&&b):: C = 1 +2556 ns P1(a||b):: C = 0 +2556 ns P2(a||b):: C = 1 +2560 ns P1(a&&b):: C = 0 +2560 ns P2(a&&b):: C = 1 +2562 ns P1(a||b):: C = 1 +2562 ns P2(a||b):: C = 0 +2566 ns P1(a&&b):: C = 1 +2566 ns P2(a&&b):: C = 0 +2568 ns P1(a||b):: C = 0 +2568 ns P2(a||b):: C = 1 +2572 ns P1(a&&b):: C = 1 +2572 ns P2(a&&b):: C = 0 +2574 ns P1(a||b):: C = 1 +2574 ns P2(a||b):: C = 0 +2578 ns P1(a&&b):: C = 0 +2578 ns P2(a&&b):: C = 1 +2580 ns P1(a||b):: C = 1 +2580 ns P2(a||b):: C = 0 +2584 ns P1(a&&b):: C = 0 +2584 ns P2(a&&b):: C = 1 +2586 ns P1(a||b):: C = 1 +2586 ns P2(a||b):: C = 0 +2590 ns P1(a&&b):: C = 0 +2590 ns P2(a&&b):: C = 1 +2592 ns P1(a||b):: C = 0 +2592 ns P2(a||b):: C = 1 +2596 ns P1(a&&b):: C = 1 +2596 ns P2(a&&b):: C = 0 +2598 ns P1(a||b):: C = 1 +2598 ns P2(a||b):: C = 0 +2602 ns P1(a&&b):: C = 1 +2602 ns P2(a&&b):: C = 0 +2604 ns P1(a||b):: C = 1 +2604 ns P2(a||b):: C = 0 +2608 ns P1(a&&b):: C = 0 +2608 ns P2(a&&b):: C = 1 +2610 ns P1(a||b):: C = 1 +2610 ns P2(a||b):: C = 0 +2614 ns P1(a&&b):: C = 0 +2614 ns P2(a&&b):: C = 1 +2616 ns P1(a||b):: C = 1 +2616 ns P2(a||b):: C = 0 +2620 ns P1(a&&b):: C = 0 +2620 ns P2(a&&b):: C = 1 +2622 ns P1(a||b):: C = 1 +2622 ns P2(a||b):: C = 0 +2626 ns P1(a&&b):: C = 0 +2626 ns P2(a&&b):: C = 1 +2628 ns P1(a||b):: C = 1 +2628 ns P2(a||b):: C = 0 +2632 ns P1(a&&b):: C = 0 +2632 ns P2(a&&b):: C = 1 +2634 ns P1(a||b):: C = 1 +2634 ns P2(a||b):: C = 0 +2638 ns P1(a&&b):: C = 1 +2638 ns P2(a&&b):: C = 0 +2640 ns P1(a||b):: C = 1 +2640 ns P2(a||b):: C = 0 +2644 ns P1(a&&b):: C = 1 +2644 ns P2(a&&b):: C = 0 +2646 ns P1(a||b):: C = 1 +2646 ns P2(a||b):: C = 0 +2650 ns P1(a&&b):: C = 0 +2650 ns P2(a&&b):: C = 1 +2652 ns P1(a||b):: C = 1 +2652 ns P2(a||b):: C = 0 +2656 ns P1(a&&b):: C = 0 +2656 ns P2(a&&b):: C = 1 +2658 ns P1(a||b):: C = 0 +2658 ns P2(a||b):: C = 1 +2662 ns P1(a&&b):: C = 1 +2662 ns P2(a&&b):: C = 0 +2664 ns P1(a||b):: C = 0 +2664 ns P2(a||b):: C = 1 +2668 ns P1(a&&b):: C = 0 +2668 ns P2(a&&b):: C = 1 +2670 ns P1(a||b):: C = 1 +2670 ns P2(a||b):: C = 0 +2674 ns P1(a&&b):: C = 0 +2674 ns P2(a&&b):: C = 1 +2676 ns P1(a||b):: C = 1 +2676 ns P2(a||b):: C = 0 +2680 ns P1(a&&b):: C = 0 +2680 ns P2(a&&b):: C = 1 +2682 ns P1(a||b):: C = 1 +2682 ns P2(a||b):: C = 0 +2686 ns P1(a&&b):: C = 0 +2686 ns P2(a&&b):: C = 1 +2688 ns P1(a||b):: C = 1 +2688 ns P2(a||b):: C = 0 +2692 ns P1(a&&b):: C = 0 +2692 ns P2(a&&b):: C = 1 +2694 ns P1(a||b):: C = 1 +2694 ns P2(a||b):: C = 0 +2698 ns P1(a&&b):: C = 0 +2698 ns P2(a&&b):: C = 1 +2700 ns P1(a||b):: C = 1 +2700 ns P2(a||b):: C = 0 +2704 ns P1(a&&b):: C = 1 +2704 ns P2(a&&b):: C = 0 +2706 ns P1(a||b):: C = 1 +2706 ns P2(a||b):: C = 0 +2710 ns P1(a&&b):: C = 0 +2710 ns P2(a&&b):: C = 1 +2712 ns P1(a||b):: C = 1 +2712 ns P2(a||b):: C = 0 +2716 ns P1(a&&b):: C = 0 +2716 ns P2(a&&b):: C = 1 +2718 ns P1(a||b):: C = 0 +2718 ns P2(a||b):: C = 1 +2722 ns P1(a&&b):: C = 0 +2722 ns P2(a&&b):: C = 1 +2724 ns P1(a||b):: C = 1 +2724 ns P2(a||b):: C = 0 +2728 ns P1(a&&b):: C = 0 +2728 ns P2(a&&b):: C = 1 +2730 ns P1(a||b):: C = 1 +2730 ns P2(a||b):: C = 0 +2734 ns P1(a&&b):: C = 1 +2734 ns P2(a&&b):: C = 0 +2736 ns P1(a||b):: C = 1 +2736 ns P2(a||b):: C = 0 +2740 ns P1(a&&b):: C = 0 +2740 ns P2(a&&b):: C = 1 +2742 ns P1(a||b):: C = 0 +2742 ns P2(a||b):: C = 1 +2746 ns P1(a&&b):: C = 0 +2746 ns P2(a&&b):: C = 1 +2748 ns P1(a||b):: C = 1 +2748 ns P2(a||b):: C = 0 +2752 ns P1(a&&b):: C = 0 +2752 ns P2(a&&b):: C = 1 +2754 ns P1(a||b):: C = 1 +2754 ns P2(a||b):: C = 0 +2758 ns P1(a&&b):: C = 0 +2758 ns P2(a&&b):: C = 1 +2760 ns P1(a||b):: C = 1 +2760 ns P2(a||b):: C = 0 +2764 ns P1(a&&b):: C = 0 +2764 ns P2(a&&b):: C = 1 +2766 ns P1(a||b):: C = 0 +2766 ns P2(a||b):: C = 1 +2770 ns P1(a&&b):: C = 0 +2770 ns P2(a&&b):: C = 1 +2772 ns P1(a||b):: C = 1 +2772 ns P2(a||b):: C = 0 +2776 ns P1(a&&b):: C = 0 +2776 ns P2(a&&b):: C = 1 +2778 ns P1(a||b):: C = 1 +2778 ns P2(a||b):: C = 0 +2782 ns P1(a&&b):: C = 0 +2782 ns P2(a&&b):: C = 1 +2784 ns P1(a||b):: C = 1 +2784 ns P2(a||b):: C = 0 +2788 ns P1(a&&b):: C = 0 +2788 ns P2(a&&b):: C = 1 +2790 ns P1(a||b):: C = 1 +2790 ns P2(a||b):: C = 0 +2794 ns P1(a&&b):: C = 0 +2794 ns P2(a&&b):: C = 1 +2796 ns P1(a||b):: C = 0 +2796 ns P2(a||b):: C = 1 +2800 ns P1(a&&b):: C = 0 +2800 ns P2(a&&b):: C = 1 +2802 ns P1(a||b):: C = 0 +2802 ns P2(a||b):: C = 1 +2806 ns P1(a&&b):: C = 0 +2806 ns P2(a&&b):: C = 1 +2808 ns P1(a||b):: C = 1 +2808 ns P2(a||b):: C = 0 +2812 ns P1(a&&b):: C = 0 +2812 ns P2(a&&b):: C = 1 +2814 ns P1(a||b):: C = 1 +2814 ns P2(a||b):: C = 0 +2818 ns P1(a&&b):: C = 1 +2818 ns P2(a&&b):: C = 0 +2820 ns P1(a||b):: C = 1 +2820 ns P2(a||b):: C = 0 +2824 ns P1(a&&b):: C = 0 +2824 ns P2(a&&b):: C = 1 +2826 ns P1(a||b):: C = 1 +2826 ns P2(a||b):: C = 0 +2830 ns P1(a&&b):: C = 0 +2830 ns P2(a&&b):: C = 1 +2832 ns P1(a||b):: C = 1 +2832 ns P2(a||b):: C = 0 +2836 ns P1(a&&b):: C = 0 +2836 ns P2(a&&b):: C = 1 +2838 ns P1(a||b):: C = 1 +2838 ns P2(a||b):: C = 0 +2842 ns P1(a&&b):: C = 0 +2842 ns P2(a&&b):: C = 1 +2844 ns P1(a||b):: C = 1 +2844 ns P2(a||b):: C = 0 +2848 ns P1(a&&b):: C = 0 +2848 ns P2(a&&b):: C = 1 +2850 ns P1(a||b):: C = 1 +2850 ns P2(a||b):: C = 0 +2854 ns P1(a&&b):: C = 1 +2854 ns P2(a&&b):: C = 0 +2856 ns P1(a||b):: C = 1 +2856 ns P2(a||b):: C = 0 +2860 ns P1(a&&b):: C = 0 +2860 ns P2(a&&b):: C = 1 +2862 ns P1(a||b):: C = 1 +2862 ns P2(a||b):: C = 0 +2866 ns P1(a&&b):: C = 0 +2866 ns P2(a&&b):: C = 1 +2868 ns P1(a||b):: C = 1 +2868 ns P2(a||b):: C = 0 +2872 ns P1(a&&b):: C = 1 +2872 ns P2(a&&b):: C = 0 +2874 ns P1(a||b):: C = 0 +2874 ns P2(a||b):: C = 1 +2878 ns P1(a&&b):: C = 0 +2878 ns P2(a&&b):: C = 1 +2880 ns P1(a||b):: C = 0 +2880 ns P2(a||b):: C = 1 +2884 ns P1(a&&b):: C = 1 +2884 ns P2(a&&b):: C = 0 +2886 ns P1(a||b):: C = 1 +2886 ns P2(a||b):: C = 0 +2890 ns P1(a&&b):: C = 1 +2890 ns P2(a&&b):: C = 0 +2892 ns P1(a||b):: C = 0 +2892 ns P2(a||b):: C = 1 +2896 ns P1(a&&b):: C = 1 +2896 ns P2(a&&b):: C = 0 +2898 ns P1(a||b):: C = 0 +2898 ns P2(a||b):: C = 1 +2902 ns P1(a&&b):: C = 1 +2902 ns P2(a&&b):: C = 0 +2904 ns P1(a||b):: C = 1 +2904 ns P2(a||b):: C = 0 +2908 ns P1(a&&b):: C = 0 +2908 ns P2(a&&b):: C = 1 +2910 ns P1(a||b):: C = 1 +2910 ns P2(a||b):: C = 0 +2914 ns P1(a&&b):: C = 0 +2914 ns P2(a&&b):: C = 1 +2916 ns P1(a||b):: C = 1 +2916 ns P2(a||b):: C = 0 +2920 ns P1(a&&b):: C = 0 +2920 ns P2(a&&b):: C = 1 +2922 ns P1(a||b):: C = 1 +2922 ns P2(a||b):: C = 0 +2926 ns P1(a&&b):: C = 0 +2926 ns P2(a&&b):: C = 1 +2928 ns P1(a||b):: C = 1 +2928 ns P2(a||b):: C = 0 +2932 ns P1(a&&b):: C = 0 +2932 ns P2(a&&b):: C = 1 +2934 ns P1(a||b):: C = 0 +2934 ns P2(a||b):: C = 1 +2938 ns P1(a&&b):: C = 0 +2938 ns P2(a&&b):: C = 1 +2940 ns P1(a||b):: C = 1 +2940 ns P2(a||b):: C = 0 +2944 ns P1(a&&b):: C = 0 +2944 ns P2(a&&b):: C = 1 +2946 ns P1(a||b):: C = 1 +2946 ns P2(a||b):: C = 0 +2950 ns P1(a&&b):: C = 1 +2950 ns P2(a&&b):: C = 0 +2952 ns P1(a||b):: C = 1 +2952 ns P2(a||b):: C = 0 +2956 ns P1(a&&b):: C = 1 +2956 ns P2(a&&b):: C = 0 +2958 ns P1(a||b):: C = 1 +2958 ns P2(a||b):: C = 0 +2962 ns P1(a&&b):: C = 1 +2962 ns P2(a&&b):: C = 0 +2964 ns P1(a||b):: C = 1 +2964 ns P2(a||b):: C = 0 +2968 ns P1(a&&b):: C = 1 +2968 ns P2(a&&b):: C = 0 +2970 ns P1(a||b):: C = 1 +2970 ns P2(a||b):: C = 0 +2974 ns P1(a&&b):: C = 0 +2974 ns P2(a&&b):: C = 1 +2976 ns P1(a||b):: C = 1 +2976 ns P2(a||b):: C = 0 +2980 ns P1(a&&b):: C = 1 +2980 ns P2(a&&b):: C = 0 +2982 ns P1(a||b):: C = 1 +2982 ns P2(a||b):: C = 0 +2986 ns P1(a&&b):: C = 0 +2986 ns P2(a&&b):: C = 1 +2988 ns P1(a||b):: C = 1 +2988 ns P2(a||b):: C = 0 +2992 ns P1(a&&b):: C = 0 +2992 ns P2(a&&b):: C = 1 +2994 ns P1(a||b):: C = 0 +2994 ns P2(a||b):: C = 1 +2998 ns P1(a&&b):: C = 0 +2998 ns P2(a&&b):: C = 1 +3 us P1(a||b):: C = 1 +3 us P2(a||b):: C = 0 +3004 ns P1(a&&b):: C = 0 +3004 ns P2(a&&b):: C = 1 +3006 ns P1(a||b):: C = 1 +3006 ns P2(a||b):: C = 0 +3010 ns P1(a&&b):: C = 0 +3010 ns P2(a&&b):: C = 1 +3012 ns P1(a||b):: C = 1 +3012 ns P2(a||b):: C = 0 +3016 ns P1(a&&b):: C = 0 +3016 ns P2(a&&b):: C = 1 +3018 ns P1(a||b):: C = 1 +3018 ns P2(a||b):: C = 0 +3022 ns P1(a&&b):: C = 1 +3022 ns P2(a&&b):: C = 0 +3024 ns P1(a||b):: C = 1 +3024 ns P2(a||b):: C = 0 +3028 ns P1(a&&b):: C = 0 +3028 ns P2(a&&b):: C = 1 +3030 ns P1(a||b):: C = 1 +3030 ns P2(a||b):: C = 0 +3034 ns P1(a&&b):: C = 0 +3034 ns P2(a&&b):: C = 1 +3036 ns P1(a||b):: C = 1 +3036 ns P2(a||b):: C = 0 +3040 ns P1(a&&b):: C = 0 +3040 ns P2(a&&b):: C = 1 +3042 ns P1(a||b):: C = 0 +3042 ns P2(a||b):: C = 1 +3046 ns P1(a&&b):: C = 0 +3046 ns P2(a&&b):: C = 1 +3048 ns P1(a||b):: C = 1 +3048 ns P2(a||b):: C = 0 +3052 ns P1(a&&b):: C = 0 +3052 ns P2(a&&b):: C = 1 +3054 ns P1(a||b):: C = 1 +3054 ns P2(a||b):: C = 0 +3058 ns P1(a&&b):: C = 0 +3058 ns P2(a&&b):: C = 1 +3060 ns P1(a||b):: C = 0 +3060 ns P2(a||b):: C = 1 +3064 ns P1(a&&b):: C = 1 +3064 ns P2(a&&b):: C = 0 +3066 ns P1(a||b):: C = 1 +3066 ns P2(a||b):: C = 0 +3070 ns P1(a&&b):: C = 0 +3070 ns P2(a&&b):: C = 1 +3072 ns P1(a||b):: C = 1 +3072 ns P2(a||b):: C = 0 +3076 ns P1(a&&b):: C = 0 +3076 ns P2(a&&b):: C = 1 +3078 ns P1(a||b):: C = 1 +3078 ns P2(a||b):: C = 0 +3082 ns P1(a&&b):: C = 0 +3082 ns P2(a&&b):: C = 1 +3084 ns P1(a||b):: C = 1 +3084 ns P2(a||b):: C = 0 +3088 ns P1(a&&b):: C = 0 +3088 ns P2(a&&b):: C = 1 +3090 ns P1(a||b):: C = 1 +3090 ns P2(a||b):: C = 0 +3094 ns P1(a&&b):: C = 0 +3094 ns P2(a&&b):: C = 1 +3096 ns P1(a||b):: C = 1 +3096 ns P2(a||b):: C = 0 +3100 ns P1(a&&b):: C = 0 +3100 ns P2(a&&b):: C = 1 +3102 ns P1(a||b):: C = 1 +3102 ns P2(a||b):: C = 0 +3106 ns P1(a&&b):: C = 1 +3106 ns P2(a&&b):: C = 0 +3108 ns P1(a||b):: C = 1 +3108 ns P2(a||b):: C = 0 +3112 ns P1(a&&b):: C = 1 +3112 ns P2(a&&b):: C = 0 +3114 ns P1(a||b):: C = 1 +3114 ns P2(a||b):: C = 0 +3118 ns P1(a&&b):: C = 0 +3118 ns P2(a&&b):: C = 1 +3120 ns P1(a||b):: C = 1 +3120 ns P2(a||b):: C = 0 +3124 ns P1(a&&b):: C = 1 +3124 ns P2(a&&b):: C = 0 +3126 ns P1(a||b):: C = 1 +3126 ns P2(a||b):: C = 0 +3130 ns P1(a&&b):: C = 0 +3130 ns P2(a&&b):: C = 1 +3132 ns P1(a||b):: C = 1 +3132 ns P2(a||b):: C = 0 +3136 ns P1(a&&b):: C = 0 +3136 ns P2(a&&b):: C = 1 +3138 ns P1(a||b):: C = 1 +3138 ns P2(a||b):: C = 0 +3142 ns P1(a&&b):: C = 0 +3142 ns P2(a&&b):: C = 1 +3144 ns P1(a||b):: C = 1 +3144 ns P2(a||b):: C = 0 +3148 ns P1(a&&b):: C = 0 +3148 ns P2(a&&b):: C = 1 +3150 ns P1(a||b):: C = 1 +3150 ns P2(a||b):: C = 0 +3154 ns P1(a&&b):: C = 0 +3154 ns P2(a&&b):: C = 1 +3156 ns P1(a||b):: C = 1 +3156 ns P2(a||b):: C = 0 +3160 ns P1(a&&b):: C = 1 +3160 ns P2(a&&b):: C = 0 +3162 ns P1(a||b):: C = 0 +3162 ns P2(a||b):: C = 1 +3166 ns P1(a&&b):: C = 1 +3166 ns P2(a&&b):: C = 0 +3168 ns P1(a||b):: C = 1 +3168 ns P2(a||b):: C = 0 +3172 ns P1(a&&b):: C = 0 +3172 ns P2(a&&b):: C = 1 +3174 ns P1(a||b):: C = 0 +3174 ns P2(a||b):: C = 1 +3178 ns P1(a&&b):: C = 0 +3178 ns P2(a&&b):: C = 1 +3180 ns P1(a||b):: C = 1 +3180 ns P2(a||b):: C = 0 +3184 ns P1(a&&b):: C = 0 +3184 ns P2(a&&b):: C = 1 +3186 ns P1(a||b):: C = 1 +3186 ns P2(a||b):: C = 0 +3190 ns P1(a&&b):: C = 0 +3190 ns P2(a&&b):: C = 1 +3192 ns P1(a||b):: C = 1 +3192 ns P2(a||b):: C = 0 +3196 ns P1(a&&b):: C = 0 +3196 ns P2(a&&b):: C = 1 +3198 ns P1(a||b):: C = 1 +3198 ns P2(a||b):: C = 0 +3202 ns P1(a&&b):: C = 0 +3202 ns P2(a&&b):: C = 1 +3204 ns P1(a||b):: C = 1 +3204 ns P2(a||b):: C = 0 +3208 ns P1(a&&b):: C = 0 +3208 ns P2(a&&b):: C = 1 +3210 ns P1(a||b):: C = 1 +3210 ns P2(a||b):: C = 0 +3214 ns P1(a&&b):: C = 0 +3214 ns P2(a&&b):: C = 1 +3216 ns P1(a||b):: C = 1 +3216 ns P2(a||b):: C = 0 +3220 ns P1(a&&b):: C = 1 +3220 ns P2(a&&b):: C = 0 +3222 ns P1(a||b):: C = 1 +3222 ns P2(a||b):: C = 0 +3226 ns P1(a&&b):: C = 0 +3226 ns P2(a&&b):: C = 1 +3228 ns P1(a||b):: C = 1 +3228 ns P2(a||b):: C = 0 +3232 ns P1(a&&b):: C = 1 +3232 ns P2(a&&b):: C = 0 +3234 ns P1(a||b):: C = 1 +3234 ns P2(a||b):: C = 0 +3238 ns P1(a&&b):: C = 0 +3238 ns P2(a&&b):: C = 1 +3240 ns P1(a||b):: C = 1 +3240 ns P2(a||b):: C = 0 +3244 ns P1(a&&b):: C = 0 +3244 ns P2(a&&b):: C = 1 +3246 ns P1(a||b):: C = 1 +3246 ns P2(a||b):: C = 0 +3250 ns P1(a&&b):: C = 0 +3250 ns P2(a&&b):: C = 1 +3252 ns P1(a||b):: C = 1 +3252 ns P2(a||b):: C = 0 +3256 ns P1(a&&b):: C = 0 +3256 ns P2(a&&b):: C = 1 +3258 ns P1(a||b):: C = 1 +3258 ns P2(a||b):: C = 0 +3262 ns P1(a&&b):: C = 0 +3262 ns P2(a&&b):: C = 1 +3264 ns P1(a||b):: C = 1 +3264 ns P2(a||b):: C = 0 +3268 ns P1(a&&b):: C = 0 +3268 ns P2(a&&b):: C = 1 +3270 ns P1(a||b):: C = 1 +3270 ns P2(a||b):: C = 0 +3274 ns P1(a&&b):: C = 0 +3274 ns P2(a&&b):: C = 1 +3276 ns P1(a||b):: C = 1 +3276 ns P2(a||b):: C = 0 +3280 ns P1(a&&b):: C = 0 +3280 ns P2(a&&b):: C = 1 +3282 ns P1(a||b):: C = 1 +3282 ns P2(a||b):: C = 0 +3286 ns P1(a&&b):: C = 0 +3286 ns P2(a&&b):: C = 1 +3288 ns P1(a||b):: C = 1 +3288 ns P2(a||b):: C = 0 +3292 ns P1(a&&b):: C = 0 +3292 ns P2(a&&b):: C = 1 +3294 ns P1(a||b):: C = 0 +3294 ns P2(a||b):: C = 1 +3298 ns P1(a&&b):: C = 0 +3298 ns P2(a&&b):: C = 1 +3300 ns P1(a||b):: C = 0 +3300 ns P2(a||b):: C = 1 +3304 ns P1(a&&b):: C = 0 +3304 ns P2(a&&b):: C = 1 +3306 ns P1(a||b):: C = 1 +3306 ns P2(a||b):: C = 0 +3310 ns P1(a&&b):: C = 1 +3310 ns P2(a&&b):: C = 0 +3312 ns P1(a||b):: C = 1 +3312 ns P2(a||b):: C = 0 +3316 ns P1(a&&b):: C = 0 +3316 ns P2(a&&b):: C = 1 +3318 ns P1(a||b):: C = 1 +3318 ns P2(a||b):: C = 0 +3322 ns P1(a&&b):: C = 0 +3322 ns P2(a&&b):: C = 1 +3324 ns P1(a||b):: C = 0 +3324 ns P2(a||b):: C = 1 +3328 ns P1(a&&b):: C = 1 +3328 ns P2(a&&b):: C = 0 +3330 ns P1(a||b):: C = 1 +3330 ns P2(a||b):: C = 0 +3334 ns P1(a&&b):: C = 0 +3334 ns P2(a&&b):: C = 1 +3336 ns P1(a||b):: C = 1 +3336 ns P2(a||b):: C = 0 +3340 ns P1(a&&b):: C = 0 +3340 ns P2(a&&b):: C = 1 +3342 ns P1(a||b):: C = 0 +3342 ns P2(a||b):: C = 1 +3346 ns P1(a&&b):: C = 0 +3346 ns P2(a&&b):: C = 1 +3348 ns P1(a||b):: C = 1 +3348 ns P2(a||b):: C = 0 +3352 ns P1(a&&b):: C = 1 +3352 ns P2(a&&b):: C = 0 +3354 ns P1(a||b):: C = 1 +3354 ns P2(a||b):: C = 0 +3358 ns P1(a&&b):: C = 0 +3358 ns P2(a&&b):: C = 1 +3360 ns P1(a||b):: C = 1 +3360 ns P2(a||b):: C = 0 +3364 ns P1(a&&b):: C = 0 +3364 ns P2(a&&b):: C = 1 +3366 ns P1(a||b):: C = 0 +3366 ns P2(a||b):: C = 1 +3370 ns P1(a&&b):: C = 0 +3370 ns P2(a&&b):: C = 1 +3372 ns P1(a||b):: C = 0 +3372 ns P2(a||b):: C = 1 +3376 ns P1(a&&b):: C = 1 +3376 ns P2(a&&b):: C = 0 +3378 ns P1(a||b):: C = 1 +3378 ns P2(a||b):: C = 0 +3382 ns P1(a&&b):: C = 0 +3382 ns P2(a&&b):: C = 1 +3384 ns P1(a||b):: C = 1 +3384 ns P2(a||b):: C = 0 +3388 ns P1(a&&b):: C = 0 +3388 ns P2(a&&b):: C = 1 +3390 ns P1(a||b):: C = 0 +3390 ns P2(a||b):: C = 1 +3394 ns P1(a&&b):: C = 0 +3394 ns P2(a&&b):: C = 1 +3396 ns P1(a||b):: C = 1 +3396 ns P2(a||b):: C = 0 +3400 ns P1(a&&b):: C = 0 +3400 ns P2(a&&b):: C = 1 +3402 ns P1(a||b):: C = 0 +3402 ns P2(a||b):: C = 1 +3406 ns P1(a&&b):: C = 0 +3406 ns P2(a&&b):: C = 1 +3408 ns P1(a||b):: C = 1 +3408 ns P2(a||b):: C = 0 +3412 ns P1(a&&b):: C = 1 +3412 ns P2(a&&b):: C = 0 +3414 ns P1(a||b):: C = 0 +3414 ns P2(a||b):: C = 1 +3418 ns P1(a&&b):: C = 0 +3418 ns P2(a&&b):: C = 1 +3420 ns P1(a||b):: C = 1 +3420 ns P2(a||b):: C = 0 +3424 ns P1(a&&b):: C = 0 +3424 ns P2(a&&b):: C = 1 +3426 ns P1(a||b):: C = 0 +3426 ns P2(a||b):: C = 1 +3430 ns P1(a&&b):: C = 0 +3430 ns P2(a&&b):: C = 1 +3432 ns P1(a||b):: C = 0 +3432 ns P2(a||b):: C = 1 +3436 ns P1(a&&b):: C = 0 +3436 ns P2(a&&b):: C = 1 +3438 ns P1(a||b):: C = 1 +3438 ns P2(a||b):: C = 0 +3442 ns P1(a&&b):: C = 0 +3442 ns P2(a&&b):: C = 1 +3444 ns P1(a||b):: C = 1 +3444 ns P2(a||b):: C = 0 +3448 ns P1(a&&b):: C = 0 +3448 ns P2(a&&b):: C = 1 +3450 ns P1(a||b):: C = 1 +3450 ns P2(a||b):: C = 0 +3454 ns P1(a&&b):: C = 0 +3454 ns P2(a&&b):: C = 1 +3456 ns P1(a||b):: C = 1 +3456 ns P2(a||b):: C = 0 +3460 ns P1(a&&b):: C = 0 +3460 ns P2(a&&b):: C = 1 +3462 ns P1(a||b):: C = 1 +3462 ns P2(a||b):: C = 0 +3466 ns P1(a&&b):: C = 0 +3466 ns P2(a&&b):: C = 1 +3468 ns P1(a||b):: C = 1 +3468 ns P2(a||b):: C = 0 +3472 ns P1(a&&b):: C = 0 +3472 ns P2(a&&b):: C = 1 +3474 ns P1(a||b):: C = 1 +3474 ns P2(a||b):: C = 0 +3478 ns P1(a&&b):: C = 1 +3478 ns P2(a&&b):: C = 0 +3480 ns P1(a||b):: C = 1 +3480 ns P2(a||b):: C = 0 +3484 ns P1(a&&b):: C = 0 +3484 ns P2(a&&b):: C = 1 +3486 ns P1(a||b):: C = 1 +3486 ns P2(a||b):: C = 0 +3490 ns P1(a&&b):: C = 0 +3490 ns P2(a&&b):: C = 1 +3492 ns P1(a||b):: C = 1 +3492 ns P2(a||b):: C = 0 +3496 ns P1(a&&b):: C = 0 +3496 ns P2(a&&b):: C = 1 +3498 ns P1(a||b):: C = 1 +3498 ns P2(a||b):: C = 0 +3502 ns P1(a&&b):: C = 0 +3502 ns P2(a&&b):: C = 1 +3504 ns P1(a||b):: C = 0 +3504 ns P2(a||b):: C = 1 +3508 ns P1(a&&b):: C = 1 +3508 ns P2(a&&b):: C = 0 +3510 ns P1(a||b):: C = 0 +3510 ns P2(a||b):: C = 1 +3514 ns P1(a&&b):: C = 0 +3514 ns P2(a&&b):: C = 1 +3516 ns P1(a||b):: C = 1 +3516 ns P2(a||b):: C = 0 +3520 ns P1(a&&b):: C = 0 +3520 ns P2(a&&b):: C = 1 +3522 ns P1(a||b):: C = 1 +3522 ns P2(a||b):: C = 0 +3526 ns P1(a&&b):: C = 1 +3526 ns P2(a&&b):: C = 0 +3528 ns P1(a||b):: C = 1 +3528 ns P2(a||b):: C = 0 +3532 ns P1(a&&b):: C = 0 +3532 ns P2(a&&b):: C = 1 +3534 ns P1(a||b):: C = 1 +3534 ns P2(a||b):: C = 0 +3538 ns P1(a&&b):: C = 0 +3538 ns P2(a&&b):: C = 1 +3540 ns P1(a||b):: C = 1 +3540 ns P2(a||b):: C = 0 +3544 ns P1(a&&b):: C = 0 +3544 ns P2(a&&b):: C = 1 +3546 ns P1(a||b):: C = 1 +3546 ns P2(a||b):: C = 0 +3550 ns P1(a&&b):: C = 0 +3550 ns P2(a&&b):: C = 1 +3552 ns P1(a||b):: C = 1 +3552 ns P2(a||b):: C = 0 +3556 ns P1(a&&b):: C = 1 +3556 ns P2(a&&b):: C = 0 +3558 ns P1(a||b):: C = 1 +3558 ns P2(a||b):: C = 0 +3562 ns P1(a&&b):: C = 0 +3562 ns P2(a&&b):: C = 1 +3564 ns P1(a||b):: C = 1 +3564 ns P2(a||b):: C = 0 +3568 ns P1(a&&b):: C = 1 +3568 ns P2(a&&b):: C = 0 +3570 ns P1(a||b):: C = 1 +3570 ns P2(a||b):: C = 0 +3574 ns P1(a&&b):: C = 0 +3574 ns P2(a&&b):: C = 1 +3576 ns P1(a||b):: C = 1 +3576 ns P2(a||b):: C = 0 +3580 ns P1(a&&b):: C = 0 +3580 ns P2(a&&b):: C = 1 +3582 ns P1(a||b):: C = 0 +3582 ns P2(a||b):: C = 1 +3586 ns P1(a&&b):: C = 0 +3586 ns P2(a&&b):: C = 1 +3588 ns P1(a||b):: C = 0 +3588 ns P2(a||b):: C = 1 +3592 ns P1(a&&b):: C = 1 +3592 ns P2(a&&b):: C = 0 +3594 ns P1(a||b):: C = 1 +3594 ns P2(a||b):: C = 0 +3598 ns P1(a&&b):: C = 0 +3598 ns P2(a&&b):: C = 1 +3600 ns P1(a||b):: C = 1 +3600 ns P2(a||b):: C = 0 +3604 ns P1(a&&b):: C = 0 +3604 ns P2(a&&b):: C = 1 +3606 ns P1(a||b):: C = 1 +3606 ns P2(a||b):: C = 0 +3610 ns P1(a&&b):: C = 1 +3610 ns P2(a&&b):: C = 0 +3612 ns P1(a||b):: C = 1 +3612 ns P2(a||b):: C = 0 +3616 ns P1(a&&b):: C = 0 +3616 ns P2(a&&b):: C = 1 +3618 ns P1(a||b):: C = 1 +3618 ns P2(a||b):: C = 0 +3622 ns P1(a&&b):: C = 1 +3622 ns P2(a&&b):: C = 0 +3624 ns P1(a||b):: C = 1 +3624 ns P2(a||b):: C = 0 +3628 ns P1(a&&b):: C = 0 +3628 ns P2(a&&b):: C = 1 +3630 ns P1(a||b):: C = 1 +3630 ns P2(a||b):: C = 0 +3634 ns P1(a&&b):: C = 0 +3634 ns P2(a&&b):: C = 1 +3636 ns P1(a||b):: C = 1 +3636 ns P2(a||b):: C = 0 +3640 ns P1(a&&b):: C = 0 +3640 ns P2(a&&b):: C = 1 +3642 ns P1(a||b):: C = 0 +3642 ns P2(a||b):: C = 1 +3646 ns P1(a&&b):: C = 1 +3646 ns P2(a&&b):: C = 0 +3648 ns P1(a||b):: C = 1 +3648 ns P2(a||b):: C = 0 +3652 ns P1(a&&b):: C = 0 +3652 ns P2(a&&b):: C = 1 +3654 ns P1(a||b):: C = 1 +3654 ns P2(a||b):: C = 0 +3658 ns P1(a&&b):: C = 1 +3658 ns P2(a&&b):: C = 0 +3660 ns P1(a||b):: C = 1 +3660 ns P2(a||b):: C = 0 +3664 ns P1(a&&b):: C = 1 +3664 ns P2(a&&b):: C = 0 +3666 ns P1(a||b):: C = 1 +3666 ns P2(a||b):: C = 0 +3670 ns P1(a&&b):: C = 0 +3670 ns P2(a&&b):: C = 1 +3672 ns P1(a||b):: C = 0 +3672 ns P2(a||b):: C = 1 +3676 ns P1(a&&b):: C = 0 +3676 ns P2(a&&b):: C = 1 +3678 ns P1(a||b):: C = 1 +3678 ns P2(a||b):: C = 0 +3682 ns P1(a&&b):: C = 0 +3682 ns P2(a&&b):: C = 1 +3684 ns P1(a||b):: C = 1 +3684 ns P2(a||b):: C = 0 +3688 ns P1(a&&b):: C = 0 +3688 ns P2(a&&b):: C = 1 +3690 ns P1(a||b):: C = 1 +3690 ns P2(a||b):: C = 0 +3694 ns P1(a&&b):: C = 0 +3694 ns P2(a&&b):: C = 1 +3696 ns P1(a||b):: C = 1 +3696 ns P2(a||b):: C = 0 +3700 ns P1(a&&b):: C = 0 +3700 ns P2(a&&b):: C = 1 +3702 ns P1(a||b):: C = 0 +3702 ns P2(a||b):: C = 1 +3706 ns P1(a&&b):: C = 0 +3706 ns P2(a&&b):: C = 1 +3708 ns P1(a||b):: C = 1 +3708 ns P2(a||b):: C = 0 +3712 ns P1(a&&b):: C = 1 +3712 ns P2(a&&b):: C = 0 +3714 ns P1(a||b):: C = 1 +3714 ns P2(a||b):: C = 0 +3718 ns P1(a&&b):: C = 0 +3718 ns P2(a&&b):: C = 1 +3720 ns P1(a||b):: C = 1 +3720 ns P2(a||b):: C = 0 +3724 ns P1(a&&b):: C = 1 +3724 ns P2(a&&b):: C = 0 +3726 ns P1(a||b):: C = 1 +3726 ns P2(a||b):: C = 0 +3730 ns P1(a&&b):: C = 0 +3730 ns P2(a&&b):: C = 1 +3732 ns P1(a||b):: C = 1 +3732 ns P2(a||b):: C = 0 +3736 ns P1(a&&b):: C = 0 +3736 ns P2(a&&b):: C = 1 +3738 ns P1(a||b):: C = 1 +3738 ns P2(a||b):: C = 0 +3742 ns P1(a&&b):: C = 0 +3742 ns P2(a&&b):: C = 1 +3744 ns P1(a||b):: C = 1 +3744 ns P2(a||b):: C = 0 +3748 ns P1(a&&b):: C = 0 +3748 ns P2(a&&b):: C = 1 +3750 ns P1(a||b):: C = 1 +3750 ns P2(a||b):: C = 0 +3754 ns P1(a&&b):: C = 1 +3754 ns P2(a&&b):: C = 0 +3756 ns P1(a||b):: C = 1 +3756 ns P2(a||b):: C = 0 +3760 ns P1(a&&b):: C = 0 +3760 ns P2(a&&b):: C = 1 +3762 ns P1(a||b):: C = 0 +3762 ns P2(a||b):: C = 1 +3766 ns P1(a&&b):: C = 0 +3766 ns P2(a&&b):: C = 1 +3768 ns P1(a||b):: C = 0 +3768 ns P2(a||b):: C = 1 +3772 ns P1(a&&b):: C = 0 +3772 ns P2(a&&b):: C = 1 +3774 ns P1(a||b):: C = 1 +3774 ns P2(a||b):: C = 0 +3778 ns P1(a&&b):: C = 0 +3778 ns P2(a&&b):: C = 1 +3780 ns P1(a||b):: C = 1 +3780 ns P2(a||b):: C = 0 +3784 ns P1(a&&b):: C = 0 +3784 ns P2(a&&b):: C = 1 +3786 ns P1(a||b):: C = 1 +3786 ns P2(a||b):: C = 0 +3790 ns P1(a&&b):: C = 0 +3790 ns P2(a&&b):: C = 1 +3792 ns P1(a||b):: C = 1 +3792 ns P2(a||b):: C = 0 +3796 ns P1(a&&b):: C = 1 +3796 ns P2(a&&b):: C = 0 +3798 ns P1(a||b):: C = 1 +3798 ns P2(a||b):: C = 0 +3802 ns P1(a&&b):: C = 0 +3802 ns P2(a&&b):: C = 1 +3804 ns P1(a||b):: C = 1 +3804 ns P2(a||b):: C = 0 +3808 ns P1(a&&b):: C = 0 +3808 ns P2(a&&b):: C = 1 +3810 ns P1(a||b):: C = 1 +3810 ns P2(a||b):: C = 0 +3814 ns P1(a&&b):: C = 1 +3814 ns P2(a&&b):: C = 0 +3816 ns P1(a||b):: C = 1 +3816 ns P2(a||b):: C = 0 +3820 ns P1(a&&b):: C = 0 +3820 ns P2(a&&b):: C = 1 +3822 ns P1(a||b):: C = 1 +3822 ns P2(a||b):: C = 0 +3826 ns P1(a&&b):: C = 0 +3826 ns P2(a&&b):: C = 1 +3828 ns P1(a||b):: C = 0 +3828 ns P2(a||b):: C = 1 +3832 ns P1(a&&b):: C = 1 +3832 ns P2(a&&b):: C = 0 +3834 ns P1(a||b):: C = 1 +3834 ns P2(a||b):: C = 0 +3838 ns P1(a&&b):: C = 0 +3838 ns P2(a&&b):: C = 1 +3840 ns P1(a||b):: C = 1 +3840 ns P2(a||b):: C = 0 +3844 ns P1(a&&b):: C = 0 +3844 ns P2(a&&b):: C = 1 +3846 ns P1(a||b):: C = 1 +3846 ns P2(a||b):: C = 0 +3850 ns P1(a&&b):: C = 0 +3850 ns P2(a&&b):: C = 1 +3852 ns P1(a||b):: C = 1 +3852 ns P2(a||b):: C = 0 +3856 ns P1(a&&b):: C = 0 +3856 ns P2(a&&b):: C = 1 +3858 ns P1(a||b):: C = 0 +3858 ns P2(a||b):: C = 1 +3862 ns P1(a&&b):: C = 0 +3862 ns P2(a&&b):: C = 1 +3864 ns P1(a||b):: C = 1 +3864 ns P2(a||b):: C = 0 +3868 ns P1(a&&b):: C = 1 +3868 ns P2(a&&b):: C = 0 +3870 ns P1(a||b):: C = 1 +3870 ns P2(a||b):: C = 0 +3874 ns P1(a&&b):: C = 0 +3874 ns P2(a&&b):: C = 1 +3876 ns P1(a||b):: C = 1 +3876 ns P2(a||b):: C = 0 +3880 ns P1(a&&b):: C = 0 +3880 ns P2(a&&b):: C = 1 +3882 ns P1(a||b):: C = 1 +3882 ns P2(a||b):: C = 0 +3886 ns P1(a&&b):: C = 1 +3886 ns P2(a&&b):: C = 0 +3888 ns P1(a||b):: C = 0 +3888 ns P2(a||b):: C = 1 +3892 ns P1(a&&b):: C = 0 +3892 ns P2(a&&b):: C = 1 +3894 ns P1(a||b):: C = 1 +3894 ns P2(a||b):: C = 0 +3898 ns P1(a&&b):: C = 0 +3898 ns P2(a&&b):: C = 1 +3900 ns P1(a||b):: C = 1 +3900 ns P2(a||b):: C = 0 +3904 ns P1(a&&b):: C = 1 +3904 ns P2(a&&b):: C = 0 +3906 ns P1(a||b):: C = 0 +3906 ns P2(a||b):: C = 1 +3910 ns P1(a&&b):: C = 0 +3910 ns P2(a&&b):: C = 1 +3912 ns P1(a||b):: C = 0 +3912 ns P2(a||b):: C = 1 +3916 ns P1(a&&b):: C = 0 +3916 ns P2(a&&b):: C = 1 +3918 ns P1(a||b):: C = 1 +3918 ns P2(a||b):: C = 0 +3922 ns P1(a&&b):: C = 0 +3922 ns P2(a&&b):: C = 1 +3924 ns P1(a||b):: C = 1 +3924 ns P2(a||b):: C = 0 +3928 ns P1(a&&b):: C = 1 +3928 ns P2(a&&b):: C = 0 +3930 ns P1(a||b):: C = 1 +3930 ns P2(a||b):: C = 0 +3934 ns P1(a&&b):: C = 0 +3934 ns P2(a&&b):: C = 1 +3936 ns P1(a||b):: C = 1 +3936 ns P2(a||b):: C = 0 +3940 ns P1(a&&b):: C = 0 +3940 ns P2(a&&b):: C = 1 +3942 ns P1(a||b):: C = 0 +3942 ns P2(a||b):: C = 1 +3946 ns P1(a&&b):: C = 0 +3946 ns P2(a&&b):: C = 1 +3948 ns P1(a||b):: C = 0 +3948 ns P2(a||b):: C = 1 +3952 ns P1(a&&b):: C = 0 +3952 ns P2(a&&b):: C = 1 +3954 ns P1(a||b):: C = 1 +3954 ns P2(a||b):: C = 0 +3958 ns P1(a&&b):: C = 0 +3958 ns P2(a&&b):: C = 1 +3960 ns P1(a||b):: C = 1 +3960 ns P2(a||b):: C = 0 +3964 ns P1(a&&b):: C = 1 +3964 ns P2(a&&b):: C = 0 +3966 ns P1(a||b):: C = 1 +3966 ns P2(a||b):: C = 0 +3970 ns P1(a&&b):: C = 0 +3970 ns P2(a&&b):: C = 1 +3972 ns P1(a||b):: C = 1 +3972 ns P2(a||b):: C = 0 +3976 ns P1(a&&b):: C = 0 +3976 ns P2(a&&b):: C = 1 +3978 ns P1(a||b):: C = 1 +3978 ns P2(a||b):: C = 0 +3982 ns P1(a&&b):: C = 0 +3982 ns P2(a&&b):: C = 1 +3984 ns P1(a||b):: C = 1 +3984 ns P2(a||b):: C = 0 +3988 ns P1(a&&b):: C = 0 +3988 ns P2(a&&b):: C = 1 +3990 ns P1(a||b):: C = 1 +3990 ns P2(a||b):: C = 0 +3994 ns P1(a&&b):: C = 0 +3994 ns P2(a&&b):: C = 1 +3996 ns P1(a||b):: C = 0 +3996 ns P2(a||b):: C = 1 +4 us P1(a&&b):: C = 0 +4 us P2(a&&b):: C = 1 +4002 ns P1(a||b):: C = 1 +4002 ns P2(a||b):: C = 0 +4006 ns P1(a&&b):: C = 0 +4006 ns P2(a&&b):: C = 1 +4008 ns P1(a||b):: C = 0 +4008 ns P2(a||b):: C = 1 +4012 ns P1(a&&b):: C = 0 +4012 ns P2(a&&b):: C = 1 +4014 ns P1(a||b):: C = 1 +4014 ns P2(a||b):: C = 0 +4018 ns P1(a&&b):: C = 0 +4018 ns P2(a&&b):: C = 1 +4020 ns P1(a||b):: C = 1 +4020 ns P2(a||b):: C = 0 +4024 ns P1(a&&b):: C = 0 +4024 ns P2(a&&b):: C = 1 +4026 ns P1(a||b):: C = 1 +4026 ns P2(a||b):: C = 0 +4030 ns P1(a&&b):: C = 0 +4030 ns P2(a&&b):: C = 1 +4032 ns P1(a||b):: C = 1 +4032 ns P2(a||b):: C = 0 +4036 ns P1(a&&b):: C = 0 +4036 ns P2(a&&b):: C = 1 +4038 ns P1(a||b):: C = 1 +4038 ns P2(a||b):: C = 0 +4042 ns P1(a&&b):: C = 0 +4042 ns P2(a&&b):: C = 1 +4044 ns P1(a||b):: C = 0 +4044 ns P2(a||b):: C = 1 +4048 ns P1(a&&b):: C = 1 +4048 ns P2(a&&b):: C = 0 +4050 ns P1(a||b):: C = 1 +4050 ns P2(a||b):: C = 0 +4054 ns P1(a&&b):: C = 0 +4054 ns P2(a&&b):: C = 1 +4056 ns P1(a||b):: C = 1 +4056 ns P2(a||b):: C = 0 +4060 ns P1(a&&b):: C = 0 +4060 ns P2(a&&b):: C = 1 +4062 ns P1(a||b):: C = 0 +4062 ns P2(a||b):: C = 1 +4066 ns P1(a&&b):: C = 0 +4066 ns P2(a&&b):: C = 1 +4068 ns P1(a||b):: C = 0 +4068 ns P2(a||b):: C = 1 +4072 ns P1(a&&b):: C = 0 +4072 ns P2(a&&b):: C = 1 +4074 ns P1(a||b):: C = 1 +4074 ns P2(a||b):: C = 0 +4078 ns P1(a&&b):: C = 0 +4078 ns P2(a&&b):: C = 1 +4080 ns P1(a||b):: C = 1 +4080 ns P2(a||b):: C = 0 +4084 ns P1(a&&b):: C = 0 +4084 ns P2(a&&b):: C = 1 +4086 ns P1(a||b):: C = 1 +4086 ns P2(a||b):: C = 0 +4090 ns P1(a&&b):: C = 1 +4090 ns P2(a&&b):: C = 0 +4092 ns P1(a||b):: C = 0 +4092 ns P2(a||b):: C = 1 +4096 ns P1(a&&b):: C = 0 +4096 ns P2(a&&b):: C = 1 +4098 ns P1(a||b):: C = 1 +4098 ns P2(a||b):: C = 0 +4102 ns P1(a&&b):: C = 1 +4102 ns P2(a&&b):: C = 0 +4104 ns P1(a||b):: C = 1 +4104 ns P2(a||b):: C = 0 +4108 ns P1(a&&b):: C = 0 +4108 ns P2(a&&b):: C = 1 +4110 ns P1(a||b):: C = 1 +4110 ns P2(a||b):: C = 0 +4114 ns P1(a&&b):: C = 0 +4114 ns P2(a&&b):: C = 1 +4116 ns P1(a||b):: C = 1 +4116 ns P2(a||b):: C = 0 +4120 ns P1(a&&b):: C = 1 +4120 ns P2(a&&b):: C = 0 +4122 ns P1(a||b):: C = 1 +4122 ns P2(a||b):: C = 0 +4126 ns P1(a&&b):: C = 0 +4126 ns P2(a&&b):: C = 1 +4128 ns P1(a||b):: C = 0 +4128 ns P2(a||b):: C = 1 +4132 ns P1(a&&b):: C = 0 +4132 ns P2(a&&b):: C = 1 +4134 ns P1(a||b):: C = 1 +4134 ns P2(a||b):: C = 0 +4138 ns P1(a&&b):: C = 0 +4138 ns P2(a&&b):: C = 1 +4140 ns P1(a||b):: C = 1 +4140 ns P2(a||b):: C = 0 +4144 ns P1(a&&b):: C = 0 +4144 ns P2(a&&b):: C = 1 +4146 ns P1(a||b):: C = 1 +4146 ns P2(a||b):: C = 0 +4150 ns P1(a&&b):: C = 0 +4150 ns P2(a&&b):: C = 1 +4152 ns P1(a||b):: C = 1 +4152 ns P2(a||b):: C = 0 +4156 ns P1(a&&b):: C = 0 +4156 ns P2(a&&b):: C = 1 +4158 ns P1(a||b):: C = 1 +4158 ns P2(a||b):: C = 0 +4162 ns P1(a&&b):: C = 0 +4162 ns P2(a&&b):: C = 1 +4164 ns P1(a||b):: C = 1 +4164 ns P2(a||b):: C = 0 +4168 ns P1(a&&b):: C = 0 +4168 ns P2(a&&b):: C = 1 +4170 ns P1(a||b):: C = 1 +4170 ns P2(a||b):: C = 0 +4174 ns P1(a&&b):: C = 0 +4174 ns P2(a&&b):: C = 1 +4176 ns P1(a||b):: C = 1 +4176 ns P2(a||b):: C = 0 +4180 ns P1(a&&b):: C = 0 +4180 ns P2(a&&b):: C = 1 +4182 ns P1(a||b):: C = 1 +4182 ns P2(a||b):: C = 0 +4186 ns P1(a&&b):: C = 1 +4186 ns P2(a&&b):: C = 0 +4188 ns P1(a||b):: C = 0 +4188 ns P2(a||b):: C = 1 +4192 ns P1(a&&b):: C = 1 +4192 ns P2(a&&b):: C = 0 +4194 ns P1(a||b):: C = 1 +4194 ns P2(a||b):: C = 0 +4198 ns P1(a&&b):: C = 0 +4198 ns P2(a&&b):: C = 1 +4200 ns P1(a||b):: C = 1 +4200 ns P2(a||b):: C = 0 +4204 ns P1(a&&b):: C = 0 +4204 ns P2(a&&b):: C = 1 +4206 ns P1(a||b):: C = 1 +4206 ns P2(a||b):: C = 0 +4210 ns P1(a&&b):: C = 0 +4210 ns P2(a&&b):: C = 1 +4212 ns P1(a||b):: C = 1 +4212 ns P2(a||b):: C = 0 +4216 ns P1(a&&b):: C = 0 +4216 ns P2(a&&b):: C = 1 +4218 ns P1(a||b):: C = 1 +4218 ns P2(a||b):: C = 0 +4222 ns P1(a&&b):: C = 1 +4222 ns P2(a&&b):: C = 0 +4224 ns P1(a||b):: C = 1 +4224 ns P2(a||b):: C = 0 +4228 ns P1(a&&b):: C = 0 +4228 ns P2(a&&b):: C = 1 +4230 ns P1(a||b):: C = 0 +4230 ns P2(a||b):: C = 1 +4234 ns P1(a&&b):: C = 0 +4234 ns P2(a&&b):: C = 1 +4236 ns P1(a||b):: C = 0 +4236 ns P2(a||b):: C = 1 +4240 ns P1(a&&b):: C = 0 +4240 ns P2(a&&b):: C = 1 +4242 ns P1(a||b):: C = 0 +4242 ns P2(a||b):: C = 1 +4246 ns P1(a&&b):: C = 1 +4246 ns P2(a&&b):: C = 0 +4248 ns P1(a||b):: C = 1 +4248 ns P2(a||b):: C = 0 +4252 ns P1(a&&b):: C = 0 +4252 ns P2(a&&b):: C = 1 +4254 ns P1(a||b):: C = 0 +4254 ns P2(a||b):: C = 1 +4258 ns P1(a&&b):: C = 0 +4258 ns P2(a&&b):: C = 1 +4260 ns P1(a||b):: C = 1 +4260 ns P2(a||b):: C = 0 +4264 ns P1(a&&b):: C = 1 +4264 ns P2(a&&b):: C = 0 +4266 ns P1(a||b):: C = 0 +4266 ns P2(a||b):: C = 1 +4270 ns P1(a&&b):: C = 0 +4270 ns P2(a&&b):: C = 1 +4272 ns P1(a||b):: C = 0 +4272 ns P2(a||b):: C = 1 +4276 ns P1(a&&b):: C = 0 +4276 ns P2(a&&b):: C = 1 +4278 ns P1(a||b):: C = 0 +4278 ns P2(a||b):: C = 1 +4282 ns P1(a&&b):: C = 0 +4282 ns P2(a&&b):: C = 1 +4284 ns P1(a||b):: C = 1 +4284 ns P2(a||b):: C = 0 +4288 ns P1(a&&b):: C = 0 +4288 ns P2(a&&b):: C = 1 +4290 ns P1(a||b):: C = 1 +4290 ns P2(a||b):: C = 0 +4294 ns P1(a&&b):: C = 0 +4294 ns P2(a&&b):: C = 1 +4296 ns P1(a||b):: C = 0 +4296 ns P2(a||b):: C = 1 +4300 ns P1(a&&b):: C = 0 +4300 ns P2(a&&b):: C = 1 +4302 ns P1(a||b):: C = 1 +4302 ns P2(a||b):: C = 0 +4306 ns P1(a&&b):: C = 0 +4306 ns P2(a&&b):: C = 1 +4308 ns P1(a||b):: C = 1 +4308 ns P2(a||b):: C = 0 +4312 ns P1(a&&b):: C = 0 +4312 ns P2(a&&b):: C = 1 +4314 ns P1(a||b):: C = 1 +4314 ns P2(a||b):: C = 0 +4318 ns P1(a&&b):: C = 0 +4318 ns P2(a&&b):: C = 1 +4320 ns P1(a||b):: C = 0 +4320 ns P2(a||b):: C = 1 +4324 ns P1(a&&b):: C = 0 +4324 ns P2(a&&b):: C = 1 +4326 ns P1(a||b):: C = 0 +4326 ns P2(a||b):: C = 1 +4330 ns P1(a&&b):: C = 0 +4330 ns P2(a&&b):: C = 1 +4332 ns P1(a||b):: C = 0 +4332 ns P2(a||b):: C = 1 +4336 ns P1(a&&b):: C = 0 +4336 ns P2(a&&b):: C = 1 +4338 ns P1(a||b):: C = 0 +4338 ns P2(a||b):: C = 1 +4342 ns P1(a&&b):: C = 0 +4342 ns P2(a&&b):: C = 1 +4344 ns P1(a||b):: C = 1 +4344 ns P2(a||b):: C = 0 +4348 ns P1(a&&b):: C = 0 +4348 ns P2(a&&b):: C = 1 +4350 ns P1(a||b):: C = 1 +4350 ns P2(a||b):: C = 0 +4354 ns P1(a&&b):: C = 0 +4354 ns P2(a&&b):: C = 1 +4356 ns P1(a||b):: C = 0 +4356 ns P2(a||b):: C = 1 +4360 ns P1(a&&b):: C = 0 +4360 ns P2(a&&b):: C = 1 +4362 ns P1(a||b):: C = 1 +4362 ns P2(a||b):: C = 0 +4366 ns P1(a&&b):: C = 1 +4366 ns P2(a&&b):: C = 0 +4368 ns P1(a||b):: C = 1 +4368 ns P2(a||b):: C = 0 +4372 ns P1(a&&b):: C = 0 +4372 ns P2(a&&b):: C = 1 +4374 ns P1(a||b):: C = 1 +4374 ns P2(a||b):: C = 0 +4378 ns P1(a&&b):: C = 1 +4378 ns P2(a&&b):: C = 0 +4380 ns P1(a||b):: C = 1 +4380 ns P2(a||b):: C = 0 +4384 ns P1(a&&b):: C = 0 +4384 ns P2(a&&b):: C = 1 +4386 ns P1(a||b):: C = 1 +4386 ns P2(a||b):: C = 0 +4390 ns P1(a&&b):: C = 0 +4390 ns P2(a&&b):: C = 1 +4392 ns P1(a||b):: C = 0 +4392 ns P2(a||b):: C = 1 +4396 ns P1(a&&b):: C = 0 +4396 ns P2(a&&b):: C = 1 +4398 ns P1(a||b):: C = 1 +4398 ns P2(a||b):: C = 0 +4402 ns P1(a&&b):: C = 0 +4402 ns P2(a&&b):: C = 1 +4404 ns P1(a||b):: C = 1 +4404 ns P2(a||b):: C = 0 +4408 ns P1(a&&b):: C = 0 +4408 ns P2(a&&b):: C = 1 +4410 ns P1(a||b):: C = 1 +4410 ns P2(a||b):: C = 0 +4414 ns P1(a&&b):: C = 0 +4414 ns P2(a&&b):: C = 1 +4416 ns P1(a||b):: C = 1 +4416 ns P2(a||b):: C = 0 +4420 ns P1(a&&b):: C = 0 +4420 ns P2(a&&b):: C = 1 +4422 ns P1(a||b):: C = 0 +4422 ns P2(a||b):: C = 1 +4426 ns P1(a&&b):: C = 1 +4426 ns P2(a&&b):: C = 0 +4428 ns P1(a||b):: C = 1 +4428 ns P2(a||b):: C = 0 +4432 ns P1(a&&b):: C = 1 +4432 ns P2(a&&b):: C = 0 +4434 ns P1(a||b):: C = 1 +4434 ns P2(a||b):: C = 0 +4438 ns P1(a&&b):: C = 0 +4438 ns P2(a&&b):: C = 1 +4440 ns P1(a||b):: C = 1 +4440 ns P2(a||b):: C = 0 +4444 ns P1(a&&b):: C = 0 +4444 ns P2(a&&b):: C = 1 +4446 ns P1(a||b):: C = 1 +4446 ns P2(a||b):: C = 0 +4450 ns P1(a&&b):: C = 1 +4450 ns P2(a&&b):: C = 0 +4452 ns P1(a||b):: C = 1 +4452 ns P2(a||b):: C = 0 +4456 ns P1(a&&b):: C = 1 +4456 ns P2(a&&b):: C = 0 +4458 ns P1(a||b):: C = 1 +4458 ns P2(a||b):: C = 0 +4462 ns P1(a&&b):: C = 0 +4462 ns P2(a&&b):: C = 1 +4464 ns P1(a||b):: C = 1 +4464 ns P2(a||b):: C = 0 +4468 ns P1(a&&b):: C = 0 +4468 ns P2(a&&b):: C = 1 +4470 ns P1(a||b):: C = 0 +4470 ns P2(a||b):: C = 1 +4474 ns P1(a&&b):: C = 1 +4474 ns P2(a&&b):: C = 0 +4476 ns P1(a||b):: C = 1 +4476 ns P2(a||b):: C = 0 +4480 ns P1(a&&b):: C = 1 +4480 ns P2(a&&b):: C = 0 +4482 ns P1(a||b):: C = 1 +4482 ns P2(a||b):: C = 0 +4486 ns P1(a&&b):: C = 0 +4486 ns P2(a&&b):: C = 1 +4488 ns P1(a||b):: C = 1 +4488 ns P2(a||b):: C = 0 +4492 ns P1(a&&b):: C = 0 +4492 ns P2(a&&b):: C = 1 +4494 ns P1(a||b):: C = 0 +4494 ns P2(a||b):: C = 1 +4498 ns P1(a&&b):: C = 1 +4498 ns P2(a&&b):: C = 0 +4500 ns P1(a||b):: C = 1 +4500 ns P2(a||b):: C = 0 +4504 ns P1(a&&b):: C = 0 +4504 ns P2(a&&b):: C = 1 +4506 ns P1(a||b):: C = 1 +4506 ns P2(a||b):: C = 0 +4510 ns P1(a&&b):: C = 1 +4510 ns P2(a&&b):: C = 0 +4512 ns P1(a||b):: C = 0 +4512 ns P2(a||b):: C = 1 +4516 ns P1(a&&b):: C = 0 +4516 ns P2(a&&b):: C = 1 +4518 ns P1(a||b):: C = 1 +4518 ns P2(a||b):: C = 0 +4522 ns P1(a&&b):: C = 0 +4522 ns P2(a&&b):: C = 1 +4524 ns P1(a||b):: C = 1 +4524 ns P2(a||b):: C = 0 +4528 ns P1(a&&b):: C = 0 +4528 ns P2(a&&b):: C = 1 +4530 ns P1(a||b):: C = 1 +4530 ns P2(a||b):: C = 0 +4534 ns P1(a&&b):: C = 0 +4534 ns P2(a&&b):: C = 1 +4536 ns P1(a||b):: C = 1 +4536 ns P2(a||b):: C = 0 +4540 ns P1(a&&b):: C = 0 +4540 ns P2(a&&b):: C = 1 +4542 ns P1(a||b):: C = 1 +4542 ns P2(a||b):: C = 0 +4546 ns P1(a&&b):: C = 1 +4546 ns P2(a&&b):: C = 0 +4548 ns P1(a||b):: C = 1 +4548 ns P2(a||b):: C = 0 +4552 ns P1(a&&b):: C = 1 +4552 ns P2(a&&b):: C = 0 +4554 ns P1(a||b):: C = 0 +4554 ns P2(a||b):: C = 1 +4558 ns P1(a&&b):: C = 0 +4558 ns P2(a&&b):: C = 1 +4560 ns P1(a||b):: C = 1 +4560 ns P2(a||b):: C = 0 +4564 ns P1(a&&b):: C = 0 +4564 ns P2(a&&b):: C = 1 +4566 ns P1(a||b):: C = 1 +4566 ns P2(a||b):: C = 0 +4570 ns P1(a&&b):: C = 0 +4570 ns P2(a&&b):: C = 1 +4572 ns P1(a||b):: C = 1 +4572 ns P2(a||b):: C = 0 +4576 ns P1(a&&b):: C = 1 +4576 ns P2(a&&b):: C = 0 +4578 ns P1(a||b):: C = 1 +4578 ns P2(a||b):: C = 0 +4582 ns P1(a&&b):: C = 1 +4582 ns P2(a&&b):: C = 0 +4584 ns P1(a||b):: C = 1 +4584 ns P2(a||b):: C = 0 +4588 ns P1(a&&b):: C = 0 +4588 ns P2(a&&b):: C = 1 +4590 ns P1(a||b):: C = 1 +4590 ns P2(a||b):: C = 0 +4594 ns P1(a&&b):: C = 0 +4594 ns P2(a&&b):: C = 1 +4596 ns P1(a||b):: C = 1 +4596 ns P2(a||b):: C = 0 +4600 ns P1(a&&b):: C = 0 +4600 ns P2(a&&b):: C = 1 +4602 ns P1(a||b):: C = 1 +4602 ns P2(a||b):: C = 0 +4606 ns P1(a&&b):: C = 0 +4606 ns P2(a&&b):: C = 1 +4608 ns P1(a||b):: C = 0 +4608 ns P2(a||b):: C = 1 +4612 ns P1(a&&b):: C = 0 +4612 ns P2(a&&b):: C = 1 +4614 ns P1(a||b):: C = 0 +4614 ns P2(a||b):: C = 1 +4618 ns P1(a&&b):: C = 0 +4618 ns P2(a&&b):: C = 1 +4620 ns P1(a||b):: C = 1 +4620 ns P2(a||b):: C = 0 +4624 ns P1(a&&b):: C = 1 +4624 ns P2(a&&b):: C = 0 +4626 ns P1(a||b):: C = 1 +4626 ns P2(a||b):: C = 0 +4630 ns P1(a&&b):: C = 0 +4630 ns P2(a&&b):: C = 1 +4632 ns P1(a||b):: C = 1 +4632 ns P2(a||b):: C = 0 +4636 ns P1(a&&b):: C = 0 +4636 ns P2(a&&b):: C = 1 +4638 ns P1(a||b):: C = 1 +4638 ns P2(a||b):: C = 0 +4642 ns P1(a&&b):: C = 0 +4642 ns P2(a&&b):: C = 1 +4644 ns P1(a||b):: C = 1 +4644 ns P2(a||b):: C = 0 +4648 ns P1(a&&b):: C = 0 +4648 ns P2(a&&b):: C = 1 +4650 ns P1(a||b):: C = 1 +4650 ns P2(a||b):: C = 0 +4654 ns P1(a&&b):: C = 1 +4654 ns P2(a&&b):: C = 0 +4656 ns P1(a||b):: C = 1 +4656 ns P2(a||b):: C = 0 +4660 ns P1(a&&b):: C = 1 +4660 ns P2(a&&b):: C = 0 +4662 ns P1(a||b):: C = 1 +4662 ns P2(a||b):: C = 0 +4666 ns P1(a&&b):: C = 1 +4666 ns P2(a&&b):: C = 0 +4668 ns P1(a||b):: C = 1 +4668 ns P2(a||b):: C = 0 +4672 ns P1(a&&b):: C = 0 +4672 ns P2(a&&b):: C = 1 +4674 ns P1(a||b):: C = 1 +4674 ns P2(a||b):: C = 0 +4678 ns P1(a&&b):: C = 0 +4678 ns P2(a&&b):: C = 1 +4680 ns P1(a||b):: C = 1 +4680 ns P2(a||b):: C = 0 +4684 ns P1(a&&b):: C = 1 +4684 ns P2(a&&b):: C = 0 +4686 ns P1(a||b):: C = 1 +4686 ns P2(a||b):: C = 0 +4690 ns P1(a&&b):: C = 0 +4690 ns P2(a&&b):: C = 1 +4692 ns P1(a||b):: C = 1 +4692 ns P2(a||b):: C = 0 +4696 ns P1(a&&b):: C = 0 +4696 ns P2(a&&b):: C = 1 +4698 ns P1(a||b):: C = 0 +4698 ns P2(a||b):: C = 1 +4702 ns P1(a&&b):: C = 0 +4702 ns P2(a&&b):: C = 1 +4704 ns P1(a||b):: C = 0 +4704 ns P2(a||b):: C = 1 +4708 ns P1(a&&b):: C = 1 +4708 ns P2(a&&b):: C = 0 +4710 ns P1(a||b):: C = 1 +4710 ns P2(a||b):: C = 0 +4714 ns P1(a&&b):: C = 0 +4714 ns P2(a&&b):: C = 1 +4716 ns P1(a||b):: C = 1 +4716 ns P2(a||b):: C = 0 +4720 ns P1(a&&b):: C = 0 +4720 ns P2(a&&b):: C = 1 +4722 ns P1(a||b):: C = 1 +4722 ns P2(a||b):: C = 0 +4726 ns P1(a&&b):: C = 1 +4726 ns P2(a&&b):: C = 0 +4728 ns P1(a||b):: C = 1 +4728 ns P2(a||b):: C = 0 +4732 ns P1(a&&b):: C = 0 +4732 ns P2(a&&b):: C = 1 +4734 ns P1(a||b):: C = 1 +4734 ns P2(a||b):: C = 0 +4738 ns P1(a&&b):: C = 0 +4738 ns P2(a&&b):: C = 1 +4740 ns P1(a||b):: C = 1 +4740 ns P2(a||b):: C = 0 +4744 ns P1(a&&b):: C = 0 +4744 ns P2(a&&b):: C = 1 +4746 ns P1(a||b):: C = 0 +4746 ns P2(a||b):: C = 1 +4750 ns P1(a&&b):: C = 0 +4750 ns P2(a&&b):: C = 1 +4752 ns P1(a||b):: C = 1 +4752 ns P2(a||b):: C = 0 +4756 ns P1(a&&b):: C = 0 +4756 ns P2(a&&b):: C = 1 +4758 ns P1(a||b):: C = 1 +4758 ns P2(a||b):: C = 0 +4762 ns P1(a&&b):: C = 0 +4762 ns P2(a&&b):: C = 1 +4764 ns P1(a||b):: C = 1 +4764 ns P2(a||b):: C = 0 +4768 ns P1(a&&b):: C = 0 +4768 ns P2(a&&b):: C = 1 +4770 ns P1(a||b):: C = 1 +4770 ns P2(a||b):: C = 0 +4774 ns P1(a&&b):: C = 0 +4774 ns P2(a&&b):: C = 1 +4776 ns P1(a||b):: C = 0 +4776 ns P2(a||b):: C = 1 +4780 ns P1(a&&b):: C = 0 +4780 ns P2(a&&b):: C = 1 +4782 ns P1(a||b):: C = 1 +4782 ns P2(a||b):: C = 0 +4786 ns P1(a&&b):: C = 0 +4786 ns P2(a&&b):: C = 1 +4788 ns P1(a||b):: C = 1 +4788 ns P2(a||b):: C = 0 +4792 ns P1(a&&b):: C = 1 +4792 ns P2(a&&b):: C = 0 +4794 ns P1(a||b):: C = 1 +4794 ns P2(a||b):: C = 0 +4798 ns P1(a&&b):: C = 1 +4798 ns P2(a&&b):: C = 0 +4800 ns P1(a||b):: C = 1 +4800 ns P2(a||b):: C = 0 +4804 ns P1(a&&b):: C = 0 +4804 ns P2(a&&b):: C = 1 +4806 ns P1(a||b):: C = 1 +4806 ns P2(a||b):: C = 0 +4810 ns P1(a&&b):: C = 0 +4810 ns P2(a&&b):: C = 1 +4812 ns P1(a||b):: C = 1 +4812 ns P2(a||b):: C = 0 +4816 ns P1(a&&b):: C = 1 +4816 ns P2(a&&b):: C = 0 +4818 ns P1(a||b):: C = 1 +4818 ns P2(a||b):: C = 0 +4822 ns P1(a&&b):: C = 0 +4822 ns P2(a&&b):: C = 1 +4824 ns P1(a||b):: C = 1 +4824 ns P2(a||b):: C = 0 +4828 ns P1(a&&b):: C = 0 +4828 ns P2(a&&b):: C = 1 +4830 ns P1(a||b):: C = 1 +4830 ns P2(a||b):: C = 0 +4834 ns P1(a&&b):: C = 1 +4834 ns P2(a&&b):: C = 0 +4836 ns P1(a||b):: C = 0 +4836 ns P2(a||b):: C = 1 +4840 ns P1(a&&b):: C = 0 +4840 ns P2(a&&b):: C = 1 +4842 ns P1(a||b):: C = 0 +4842 ns P2(a||b):: C = 1 +4846 ns P1(a&&b):: C = 1 +4846 ns P2(a&&b):: C = 0 +4848 ns P1(a||b):: C = 0 +4848 ns P2(a||b):: C = 1 +4852 ns P1(a&&b):: C = 1 +4852 ns P2(a&&b):: C = 0 +4854 ns P1(a||b):: C = 0 +4854 ns P2(a||b):: C = 1 +4858 ns P1(a&&b):: C = 0 +4858 ns P2(a&&b):: C = 1 +4860 ns P1(a||b):: C = 1 +4860 ns P2(a||b):: C = 0 +4864 ns P1(a&&b):: C = 0 +4864 ns P2(a&&b):: C = 1 +4866 ns P1(a||b):: C = 1 +4866 ns P2(a||b):: C = 0 +4870 ns P1(a&&b):: C = 0 +4870 ns P2(a&&b):: C = 1 +4872 ns P1(a||b):: C = 1 +4872 ns P2(a||b):: C = 0 +4876 ns P1(a&&b):: C = 0 +4876 ns P2(a&&b):: C = 1 +4878 ns P1(a||b):: C = 1 +4878 ns P2(a||b):: C = 0 +4882 ns P1(a&&b):: C = 0 +4882 ns P2(a&&b):: C = 1 +4884 ns P1(a||b):: C = 1 +4884 ns P2(a||b):: C = 0 +4888 ns P1(a&&b):: C = 0 +4888 ns P2(a&&b):: C = 1 +4890 ns P1(a||b):: C = 1 +4890 ns P2(a||b):: C = 0 +4894 ns P1(a&&b):: C = 0 +4894 ns P2(a&&b):: C = 1 +4896 ns P1(a||b):: C = 0 +4896 ns P2(a||b):: C = 1 +4900 ns P1(a&&b):: C = 0 +4900 ns P2(a&&b):: C = 1 +4902 ns P1(a||b):: C = 1 +4902 ns P2(a||b):: C = 0 +4906 ns P1(a&&b):: C = 1 +4906 ns P2(a&&b):: C = 0 +4908 ns P1(a||b):: C = 1 +4908 ns P2(a||b):: C = 0 +4912 ns P1(a&&b):: C = 0 +4912 ns P2(a&&b):: C = 1 +4914 ns P1(a||b):: C = 1 +4914 ns P2(a||b):: C = 0 +4918 ns P1(a&&b):: C = 0 +4918 ns P2(a&&b):: C = 1 +4920 ns P1(a||b):: C = 1 +4920 ns P2(a||b):: C = 0 +4924 ns P1(a&&b):: C = 0 +4924 ns P2(a&&b):: C = 1 +4926 ns P1(a||b):: C = 1 +4926 ns P2(a||b):: C = 0 +4930 ns P1(a&&b):: C = 0 +4930 ns P2(a&&b):: C = 1 +4932 ns P1(a||b):: C = 1 +4932 ns P2(a||b):: C = 0 +4936 ns P1(a&&b):: C = 0 +4936 ns P2(a&&b):: C = 1 +4938 ns P1(a||b):: C = 1 +4938 ns P2(a||b):: C = 0 +4942 ns P1(a&&b):: C = 0 +4942 ns P2(a&&b):: C = 1 +4944 ns P1(a||b):: C = 1 +4944 ns P2(a||b):: C = 0 +4948 ns P1(a&&b):: C = 0 +4948 ns P2(a&&b):: C = 1 +4950 ns P1(a||b):: C = 1 +4950 ns P2(a||b):: C = 0 +4954 ns P1(a&&b):: C = 0 +4954 ns P2(a&&b):: C = 1 +4956 ns P1(a||b):: C = 0 +4956 ns P2(a||b):: C = 1 +4960 ns P1(a&&b):: C = 0 +4960 ns P2(a&&b):: C = 1 +4962 ns P1(a||b):: C = 1 +4962 ns P2(a||b):: C = 0 +4966 ns P1(a&&b):: C = 0 +4966 ns P2(a&&b):: C = 1 +4968 ns P1(a||b):: C = 1 +4968 ns P2(a||b):: C = 0 +4972 ns P1(a&&b):: C = 1 +4972 ns P2(a&&b):: C = 0 +4974 ns P1(a||b):: C = 1 +4974 ns P2(a||b):: C = 0 +4978 ns P1(a&&b):: C = 0 +4978 ns P2(a&&b):: C = 1 +4980 ns P1(a||b):: C = 1 +4980 ns P2(a||b):: C = 0 +4984 ns P1(a&&b):: C = 0 +4984 ns P2(a&&b):: C = 1 +4986 ns P1(a||b):: C = 0 +4986 ns P2(a||b):: C = 1 +4990 ns P1(a&&b):: C = 0 +4990 ns P2(a&&b):: C = 1 +4992 ns P1(a||b):: C = 1 +4992 ns P2(a||b):: C = 0 +4996 ns P1(a&&b):: C = 1 +4996 ns P2(a&&b):: C = 0 +4998 ns P1(a||b):: C = 1 +4998 ns P2(a||b):: C = 0 +5002 ns P1(a&&b):: C = 0 +5002 ns P2(a&&b):: C = 1 +5004 ns P1(a||b):: C = 1 +5004 ns P2(a||b):: C = 0 +5008 ns P1(a&&b):: C = 0 +5008 ns P2(a&&b):: C = 1 +5010 ns P1(a||b):: C = 1 +5010 ns P2(a||b):: C = 0 +5014 ns P1(a&&b):: C = 0 +5014 ns P2(a&&b):: C = 1 +5016 ns P1(a||b):: C = 1 +5016 ns P2(a||b):: C = 0 +5020 ns P1(a&&b):: C = 0 +5020 ns P2(a&&b):: C = 1 +5022 ns P1(a||b):: C = 1 +5022 ns P2(a||b):: C = 0 +5026 ns P1(a&&b):: C = 0 +5026 ns P2(a&&b):: C = 1 +5028 ns P1(a||b):: C = 0 +5028 ns P2(a||b):: C = 1 +5032 ns P1(a&&b):: C = 0 +5032 ns P2(a&&b):: C = 1 +5034 ns P1(a||b):: C = 1 +5034 ns P2(a||b):: C = 0 +5038 ns P1(a&&b):: C = 0 +5038 ns P2(a&&b):: C = 1 +5040 ns P1(a||b):: C = 0 +5040 ns P2(a||b):: C = 1 +5044 ns P1(a&&b):: C = 1 +5044 ns P2(a&&b):: C = 0 +5046 ns P1(a||b):: C = 0 +5046 ns P2(a||b):: C = 1 +5050 ns P1(a&&b):: C = 1 +5050 ns P2(a&&b):: C = 0 +5052 ns P1(a||b):: C = 1 +5052 ns P2(a||b):: C = 0 +5056 ns P1(a&&b):: C = 0 +5056 ns P2(a&&b):: C = 1 +5058 ns P1(a||b):: C = 1 +5058 ns P2(a||b):: C = 0 +5062 ns P1(a&&b):: C = 1 +5062 ns P2(a&&b):: C = 0 +5064 ns P1(a||b):: C = 1 +5064 ns P2(a||b):: C = 0 +5068 ns P1(a&&b):: C = 0 +5068 ns P2(a&&b):: C = 1 +5070 ns P1(a||b):: C = 1 +5070 ns P2(a||b):: C = 0 +5074 ns P1(a&&b):: C = 0 +5074 ns P2(a&&b):: C = 1 +5076 ns P1(a||b):: C = 1 +5076 ns P2(a||b):: C = 0 +5080 ns P1(a&&b):: C = 0 +5080 ns P2(a&&b):: C = 1 +5082 ns P1(a||b):: C = 0 +5082 ns P2(a||b):: C = 1 +5086 ns P1(a&&b):: C = 1 +5086 ns P2(a&&b):: C = 0 +5088 ns P1(a||b):: C = 1 +5088 ns P2(a||b):: C = 0 +5092 ns P1(a&&b):: C = 0 +5092 ns P2(a&&b):: C = 1 +5094 ns P1(a||b):: C = 1 +5094 ns P2(a||b):: C = 0 +5098 ns P1(a&&b):: C = 1 +5098 ns P2(a&&b):: C = 0 +5100 ns P1(a||b):: C = 1 +5100 ns P2(a||b):: C = 0 +5104 ns P1(a&&b):: C = 0 +5104 ns P2(a&&b):: C = 1 +5106 ns P1(a||b):: C = 1 +5106 ns P2(a||b):: C = 0 +5110 ns P1(a&&b):: C = 0 +5110 ns P2(a&&b):: C = 1 +5112 ns P1(a||b):: C = 1 +5112 ns P2(a||b):: C = 0 +5116 ns P1(a&&b):: C = 0 +5116 ns P2(a&&b):: C = 1 +5118 ns P1(a||b):: C = 1 +5118 ns P2(a||b):: C = 0 +5122 ns P1(a&&b):: C = 0 +5122 ns P2(a&&b):: C = 1 +5124 ns P1(a||b):: C = 1 +5124 ns P2(a||b):: C = 0 +5128 ns P1(a&&b):: C = 1 +5128 ns P2(a&&b):: C = 0 +5130 ns P1(a||b):: C = 0 +5130 ns P2(a||b):: C = 1 +5134 ns P1(a&&b):: C = 0 +5134 ns P2(a&&b):: C = 1 +5136 ns P1(a||b):: C = 1 +5136 ns P2(a||b):: C = 0 +5140 ns P1(a&&b):: C = 0 +5140 ns P2(a&&b):: C = 1 +5142 ns P1(a||b):: C = 1 +5142 ns P2(a||b):: C = 0 +5146 ns P1(a&&b):: C = 0 +5146 ns P2(a&&b):: C = 1 +5148 ns P1(a||b):: C = 1 +5148 ns P2(a||b):: C = 0 +5152 ns P1(a&&b):: C = 0 +5152 ns P2(a&&b):: C = 1 +5154 ns P1(a||b):: C = 1 +5154 ns P2(a||b):: C = 0 +5158 ns P1(a&&b):: C = 1 +5158 ns P2(a&&b):: C = 0 +5160 ns P1(a||b):: C = 1 +5160 ns P2(a||b):: C = 0 +5164 ns P1(a&&b):: C = 0 +5164 ns P2(a&&b):: C = 1 +5166 ns P1(a||b):: C = 1 +5166 ns P2(a||b):: C = 0 +5170 ns P1(a&&b):: C = 1 +5170 ns P2(a&&b):: C = 0 +5172 ns P1(a||b):: C = 1 +5172 ns P2(a||b):: C = 0 +5176 ns P1(a&&b):: C = 0 +5176 ns P2(a&&b):: C = 1 +5178 ns P1(a||b):: C = 1 +5178 ns P2(a||b):: C = 0 +5182 ns P1(a&&b):: C = 0 +5182 ns P2(a&&b):: C = 1 +5184 ns P1(a||b):: C = 1 +5184 ns P2(a||b):: C = 0 +5188 ns P1(a&&b):: C = 0 +5188 ns P2(a&&b):: C = 1 +5190 ns P1(a||b):: C = 1 +5190 ns P2(a||b):: C = 0 +5194 ns P1(a&&b):: C = 1 +5194 ns P2(a&&b):: C = 0 +5196 ns P1(a||b):: C = 0 +5196 ns P2(a||b):: C = 1 +5200 ns P1(a&&b):: C = 0 +5200 ns P2(a&&b):: C = 1 +5202 ns P1(a||b):: C = 1 +5202 ns P2(a||b):: C = 0 +5206 ns P1(a&&b):: C = 0 +5206 ns P2(a&&b):: C = 1 +5208 ns P1(a||b):: C = 1 +5208 ns P2(a||b):: C = 0 +5212 ns P1(a&&b):: C = 0 +5212 ns P2(a&&b):: C = 1 +5214 ns P1(a||b):: C = 1 +5214 ns P2(a||b):: C = 0 +5218 ns P1(a&&b):: C = 1 +5218 ns P2(a&&b):: C = 0 +5220 ns P1(a||b):: C = 1 +5220 ns P2(a||b):: C = 0 +5224 ns P1(a&&b):: C = 1 +5224 ns P2(a&&b):: C = 0 +5226 ns P1(a||b):: C = 1 +5226 ns P2(a||b):: C = 0 +5230 ns P1(a&&b):: C = 0 +5230 ns P2(a&&b):: C = 1 +5232 ns P1(a||b):: C = 0 +5232 ns P2(a||b):: C = 1 +5236 ns P1(a&&b):: C = 0 +5236 ns P2(a&&b):: C = 1 +5238 ns P1(a||b):: C = 1 +5238 ns P2(a||b):: C = 0 +5242 ns P1(a&&b):: C = 0 +5242 ns P2(a&&b):: C = 1 +5244 ns P1(a||b):: C = 1 +5244 ns P2(a||b):: C = 0 +5248 ns P1(a&&b):: C = 0 +5248 ns P2(a&&b):: C = 1 +5250 ns P1(a||b):: C = 1 +5250 ns P2(a||b):: C = 0 +5254 ns P1(a&&b):: C = 0 +5254 ns P2(a&&b):: C = 1 +5256 ns P1(a||b):: C = 1 +5256 ns P2(a||b):: C = 0 +5260 ns P1(a&&b):: C = 1 +5260 ns P2(a&&b):: C = 0 +5262 ns P1(a||b):: C = 1 +5262 ns P2(a||b):: C = 0 +5266 ns P1(a&&b):: C = 0 +5266 ns P2(a&&b):: C = 1 +5268 ns P1(a||b):: C = 0 +5268 ns P2(a||b):: C = 1 +5272 ns P1(a&&b):: C = 0 +5272 ns P2(a&&b):: C = 1 +5274 ns P1(a||b):: C = 1 +5274 ns P2(a||b):: C = 0 +5278 ns P1(a&&b):: C = 0 +5278 ns P2(a&&b):: C = 1 +5280 ns P1(a||b):: C = 0 +5280 ns P2(a||b):: C = 1 +5284 ns P1(a&&b):: C = 1 +5284 ns P2(a&&b):: C = 0 +5286 ns P1(a||b):: C = 0 +5286 ns P2(a||b):: C = 1 +5290 ns P1(a&&b):: C = 0 +5290 ns P2(a&&b):: C = 1 +5292 ns P1(a||b):: C = 1 +5292 ns P2(a||b):: C = 0 +5296 ns P1(a&&b):: C = 0 +5296 ns P2(a&&b):: C = 1 +5298 ns P1(a||b):: C = 0 +5298 ns P2(a||b):: C = 1 +5302 ns P1(a&&b):: C = 0 +5302 ns P2(a&&b):: C = 1 +5304 ns P1(a||b):: C = 1 +5304 ns P2(a||b):: C = 0 +5308 ns P1(a&&b):: C = 0 +5308 ns P2(a&&b):: C = 1 +5310 ns P1(a||b):: C = 1 +5310 ns P2(a||b):: C = 0 +5314 ns P1(a&&b):: C = 0 +5314 ns P2(a&&b):: C = 1 +5316 ns P1(a||b):: C = 1 +5316 ns P2(a||b):: C = 0 +5320 ns P1(a&&b):: C = 0 +5320 ns P2(a&&b):: C = 1 +5322 ns P1(a||b):: C = 0 +5322 ns P2(a||b):: C = 1 +5326 ns P1(a&&b):: C = 0 +5326 ns P2(a&&b):: C = 1 +5328 ns P1(a||b):: C = 1 +5328 ns P2(a||b):: C = 0 +5332 ns P1(a&&b):: C = 0 +5332 ns P2(a&&b):: C = 1 +5334 ns P1(a||b):: C = 1 +5334 ns P2(a||b):: C = 0 +5338 ns P1(a&&b):: C = 1 +5338 ns P2(a&&b):: C = 0 +5340 ns P1(a||b):: C = 1 +5340 ns P2(a||b):: C = 0 +5344 ns P1(a&&b):: C = 0 +5344 ns P2(a&&b):: C = 1 +5346 ns P1(a||b):: C = 1 +5346 ns P2(a||b):: C = 0 +5350 ns P1(a&&b):: C = 1 +5350 ns P2(a&&b):: C = 0 +5352 ns P1(a||b):: C = 1 +5352 ns P2(a||b):: C = 0 +5356 ns P1(a&&b):: C = 0 +5356 ns P2(a&&b):: C = 1 +5358 ns P1(a||b):: C = 1 +5358 ns P2(a||b):: C = 0 +5362 ns P1(a&&b):: C = 1 +5362 ns P2(a&&b):: C = 0 +5364 ns P1(a||b):: C = 0 +5364 ns P2(a||b):: C = 1 +5368 ns P1(a&&b):: C = 0 +5368 ns P2(a&&b):: C = 1 +5370 ns P1(a||b):: C = 0 +5370 ns P2(a||b):: C = 1 +5374 ns P1(a&&b):: C = 0 +5374 ns P2(a&&b):: C = 1 +5376 ns P1(a||b):: C = 1 +5376 ns P2(a||b):: C = 0 +5380 ns P1(a&&b):: C = 0 +5380 ns P2(a&&b):: C = 1 +5382 ns P1(a||b):: C = 1 +5382 ns P2(a||b):: C = 0 +5386 ns P1(a&&b):: C = 0 +5386 ns P2(a&&b):: C = 1 +5388 ns P1(a||b):: C = 0 +5388 ns P2(a||b):: C = 1 +5392 ns P1(a&&b):: C = 1 +5392 ns P2(a&&b):: C = 0 +5394 ns P1(a||b):: C = 1 +5394 ns P2(a||b):: C = 0 +5398 ns P1(a&&b):: C = 0 +5398 ns P2(a&&b):: C = 1 +5400 ns P1(a||b):: C = 0 +5400 ns P2(a||b):: C = 1 +5404 ns P1(a&&b):: C = 0 +5404 ns P2(a&&b):: C = 1 +5406 ns P1(a||b):: C = 1 +5406 ns P2(a||b):: C = 0 +5410 ns P1(a&&b):: C = 0 +5410 ns P2(a&&b):: C = 1 +5412 ns P1(a||b):: C = 0 +5412 ns P2(a||b):: C = 1 +5416 ns P1(a&&b):: C = 1 +5416 ns P2(a&&b):: C = 0 +5418 ns P1(a||b):: C = 1 +5418 ns P2(a||b):: C = 0 +5422 ns P1(a&&b):: C = 0 +5422 ns P2(a&&b):: C = 1 +5424 ns P1(a||b):: C = 1 +5424 ns P2(a||b):: C = 0 +5428 ns P1(a&&b):: C = 1 +5428 ns P2(a&&b):: C = 0 +5430 ns P1(a||b):: C = 1 +5430 ns P2(a||b):: C = 0 +5434 ns P1(a&&b):: C = 1 +5434 ns P2(a&&b):: C = 0 +5436 ns P1(a||b):: C = 1 +5436 ns P2(a||b):: C = 0 +5440 ns P1(a&&b):: C = 0 +5440 ns P2(a&&b):: C = 1 +5442 ns P1(a||b):: C = 1 +5442 ns P2(a||b):: C = 0 +5446 ns P1(a&&b):: C = 1 +5446 ns P2(a&&b):: C = 0 +5448 ns P1(a||b):: C = 1 +5448 ns P2(a||b):: C = 0 +5452 ns P1(a&&b):: C = 0 +5452 ns P2(a&&b):: C = 1 +5454 ns P1(a||b):: C = 0 +5454 ns P2(a||b):: C = 1 +5458 ns P1(a&&b):: C = 0 +5458 ns P2(a&&b):: C = 1 +5460 ns P1(a||b):: C = 0 +5460 ns P2(a||b):: C = 1 +5464 ns P1(a&&b):: C = 1 +5464 ns P2(a&&b):: C = 0 +5466 ns P1(a||b):: C = 1 +5466 ns P2(a||b):: C = 0 +5470 ns P1(a&&b):: C = 1 +5470 ns P2(a&&b):: C = 0 +5472 ns P1(a||b):: C = 1 +5472 ns P2(a||b):: C = 0 +5476 ns P1(a&&b):: C = 1 +5476 ns P2(a&&b):: C = 0 +5478 ns P1(a||b):: C = 1 +5478 ns P2(a||b):: C = 0 +5482 ns P1(a&&b):: C = 0 +5482 ns P2(a&&b):: C = 1 +5484 ns P1(a||b):: C = 1 +5484 ns P2(a||b):: C = 0 +5488 ns P1(a&&b):: C = 0 +5488 ns P2(a&&b):: C = 1 +5490 ns P1(a||b):: C = 1 +5490 ns P2(a||b):: C = 0 +5494 ns P1(a&&b):: C = 0 +5494 ns P2(a&&b):: C = 1 +5496 ns P1(a||b):: C = 0 +5496 ns P2(a||b):: C = 1 +5500 ns P1(a&&b):: C = 1 +5500 ns P2(a&&b):: C = 0 +5502 ns P1(a||b):: C = 1 +5502 ns P2(a||b):: C = 0 +5506 ns P1(a&&b):: C = 1 +5506 ns P2(a&&b):: C = 0 +5508 ns P1(a||b):: C = 0 +5508 ns P2(a||b):: C = 1 +5512 ns P1(a&&b):: C = 0 +5512 ns P2(a&&b):: C = 1 +5514 ns P1(a||b):: C = 1 +5514 ns P2(a||b):: C = 0 +5518 ns P1(a&&b):: C = 1 +5518 ns P2(a&&b):: C = 0 +5520 ns P1(a||b):: C = 1 +5520 ns P2(a||b):: C = 0 +5524 ns P1(a&&b):: C = 1 +5524 ns P2(a&&b):: C = 0 +5526 ns P1(a||b):: C = 0 +5526 ns P2(a||b):: C = 1 +5530 ns P1(a&&b):: C = 0 +5530 ns P2(a&&b):: C = 1 +5532 ns P1(a||b):: C = 1 +5532 ns P2(a||b):: C = 0 +5536 ns P1(a&&b):: C = 0 +5536 ns P2(a&&b):: C = 1 +5538 ns P1(a||b):: C = 0 +5538 ns P2(a||b):: C = 1 +5542 ns P1(a&&b):: C = 0 +5542 ns P2(a&&b):: C = 1 +5544 ns P1(a||b):: C = 1 +5544 ns P2(a||b):: C = 0 +5548 ns P1(a&&b):: C = 1 +5548 ns P2(a&&b):: C = 0 +5550 ns P1(a||b):: C = 1 +5550 ns P2(a||b):: C = 0 +5554 ns P1(a&&b):: C = 1 +5554 ns P2(a&&b):: C = 0 +5556 ns P1(a||b):: C = 0 +5556 ns P2(a||b):: C = 1 +5560 ns P1(a&&b):: C = 1 +5560 ns P2(a&&b):: C = 0 +5562 ns P1(a||b):: C = 1 +5562 ns P2(a||b):: C = 0 +5566 ns P1(a&&b):: C = 1 +5566 ns P2(a&&b):: C = 0 +5568 ns P1(a||b):: C = 0 +5568 ns P2(a||b):: C = 1 +5572 ns P1(a&&b):: C = 0 +5572 ns P2(a&&b):: C = 1 +5574 ns P1(a||b):: C = 0 +5574 ns P2(a||b):: C = 1 +5578 ns P1(a&&b):: C = 0 +5578 ns P2(a&&b):: C = 1 +5580 ns P1(a||b):: C = 1 +5580 ns P2(a||b):: C = 0 +5584 ns P1(a&&b):: C = 0 +5584 ns P2(a&&b):: C = 1 +5586 ns P1(a||b):: C = 1 +5586 ns P2(a||b):: C = 0 +5590 ns P1(a&&b):: C = 1 +5590 ns P2(a&&b):: C = 0 +5592 ns P1(a||b):: C = 1 +5592 ns P2(a||b):: C = 0 +5596 ns P1(a&&b):: C = 0 +5596 ns P2(a&&b):: C = 1 +5598 ns P1(a||b):: C = 1 +5598 ns P2(a||b):: C = 0 +5602 ns P1(a&&b):: C = 0 +5602 ns P2(a&&b):: C = 1 +5604 ns P1(a||b):: C = 1 +5604 ns P2(a||b):: C = 0 +5608 ns P1(a&&b):: C = 1 +5608 ns P2(a&&b):: C = 0 +5610 ns P1(a||b):: C = 1 +5610 ns P2(a||b):: C = 0 +5614 ns P1(a&&b):: C = 1 +5614 ns P2(a&&b):: C = 0 +5616 ns P1(a||b):: C = 1 +5616 ns P2(a||b):: C = 0 +5620 ns P1(a&&b):: C = 0 +5620 ns P2(a&&b):: C = 1 +5622 ns P1(a||b):: C = 1 +5622 ns P2(a||b):: C = 0 +5626 ns P1(a&&b):: C = 0 +5626 ns P2(a&&b):: C = 1 +5628 ns P1(a||b):: C = 1 +5628 ns P2(a||b):: C = 0 +5632 ns P1(a&&b):: C = 0 +5632 ns P2(a&&b):: C = 1 +5634 ns P1(a||b):: C = 1 +5634 ns P2(a||b):: C = 0 +5638 ns P1(a&&b):: C = 0 +5638 ns P2(a&&b):: C = 1 +5640 ns P1(a||b):: C = 0 +5640 ns P2(a||b):: C = 1 +5644 ns P1(a&&b):: C = 0 +5644 ns P2(a&&b):: C = 1 +5646 ns P1(a||b):: C = 1 +5646 ns P2(a||b):: C = 0 +5650 ns P1(a&&b):: C = 0 +5650 ns P2(a&&b):: C = 1 +5652 ns P1(a||b):: C = 1 +5652 ns P2(a||b):: C = 0 +5656 ns P1(a&&b):: C = 0 +5656 ns P2(a&&b):: C = 1 +5658 ns P1(a||b):: C = 1 +5658 ns P2(a||b):: C = 0 +5662 ns P1(a&&b):: C = 1 +5662 ns P2(a&&b):: C = 0 +5664 ns P1(a||b):: C = 1 +5664 ns P2(a||b):: C = 0 +5668 ns P1(a&&b):: C = 0 +5668 ns P2(a&&b):: C = 1 +5670 ns P1(a||b):: C = 1 +5670 ns P2(a||b):: C = 0 +5674 ns P1(a&&b):: C = 1 +5674 ns P2(a&&b):: C = 0 +5676 ns P1(a||b):: C = 1 +5676 ns P2(a||b):: C = 0 +5680 ns P1(a&&b):: C = 0 +5680 ns P2(a&&b):: C = 1 +5682 ns P1(a||b):: C = 1 +5682 ns P2(a||b):: C = 0 +5686 ns P1(a&&b):: C = 1 +5686 ns P2(a&&b):: C = 0 +5688 ns P1(a||b):: C = 1 +5688 ns P2(a||b):: C = 0 +5692 ns P1(a&&b):: C = 1 +5692 ns P2(a&&b):: C = 0 +5694 ns P1(a||b):: C = 1 +5694 ns P2(a||b):: C = 0 +5698 ns P1(a&&b):: C = 0 +5698 ns P2(a&&b):: C = 1 +5700 ns P1(a||b):: C = 1 +5700 ns P2(a||b):: C = 0 +5704 ns P1(a&&b):: C = 0 +5704 ns P2(a&&b):: C = 1 +5706 ns P1(a||b):: C = 1 +5706 ns P2(a||b):: C = 0 +5710 ns P1(a&&b):: C = 0 +5710 ns P2(a&&b):: C = 1 +5712 ns P1(a||b):: C = 1 +5712 ns P2(a||b):: C = 0 +5716 ns P1(a&&b):: C = 1 +5716 ns P2(a&&b):: C = 0 +5718 ns P1(a||b):: C = 1 +5718 ns P2(a||b):: C = 0 +5722 ns P1(a&&b):: C = 0 +5722 ns P2(a&&b):: C = 1 +5724 ns P1(a||b):: C = 1 +5724 ns P2(a||b):: C = 0 +5728 ns P1(a&&b):: C = 0 +5728 ns P2(a&&b):: C = 1 +5730 ns P1(a||b):: C = 1 +5730 ns P2(a||b):: C = 0 +5734 ns P1(a&&b):: C = 1 +5734 ns P2(a&&b):: C = 0 +5736 ns P1(a||b):: C = 0 +5736 ns P2(a||b):: C = 1 +5740 ns P1(a&&b):: C = 0 +5740 ns P2(a&&b):: C = 1 +5742 ns P1(a||b):: C = 0 +5742 ns P2(a||b):: C = 1 +5746 ns P1(a&&b):: C = 1 +5746 ns P2(a&&b):: C = 0 +5748 ns P1(a||b):: C = 1 +5748 ns P2(a||b):: C = 0 +5752 ns P1(a&&b):: C = 0 +5752 ns P2(a&&b):: C = 1 +5754 ns P1(a||b):: C = 1 +5754 ns P2(a||b):: C = 0 +5758 ns P1(a&&b):: C = 1 +5758 ns P2(a&&b):: C = 0 +5760 ns P1(a||b):: C = 1 +5760 ns P2(a||b):: C = 0 +5764 ns P1(a&&b):: C = 0 +5764 ns P2(a&&b):: C = 1 +5766 ns P1(a||b):: C = 1 +5766 ns P2(a||b):: C = 0 +5770 ns P1(a&&b):: C = 0 +5770 ns P2(a&&b):: C = 1 +5772 ns P1(a||b):: C = 1 +5772 ns P2(a||b):: C = 0 +5776 ns P1(a&&b):: C = 0 +5776 ns P2(a&&b):: C = 1 +5778 ns P1(a||b):: C = 1 +5778 ns P2(a||b):: C = 0 +5782 ns P1(a&&b):: C = 0 +5782 ns P2(a&&b):: C = 1 +5784 ns P1(a||b):: C = 1 +5784 ns P2(a||b):: C = 0 +5788 ns P1(a&&b):: C = 0 +5788 ns P2(a&&b):: C = 1 +5790 ns P1(a||b):: C = 0 +5790 ns P2(a||b):: C = 1 +5794 ns P1(a&&b):: C = 0 +5794 ns P2(a&&b):: C = 1 +5796 ns P1(a||b):: C = 1 +5796 ns P2(a||b):: C = 0 +5800 ns P1(a&&b):: C = 0 +5800 ns P2(a&&b):: C = 1 +5802 ns P1(a||b):: C = 1 +5802 ns P2(a||b):: C = 0 +5806 ns P1(a&&b):: C = 0 +5806 ns P2(a&&b):: C = 1 +5808 ns P1(a||b):: C = 1 +5808 ns P2(a||b):: C = 0 +5812 ns P1(a&&b):: C = 1 +5812 ns P2(a&&b):: C = 0 +5814 ns P1(a||b):: C = 1 +5814 ns P2(a||b):: C = 0 +5818 ns P1(a&&b):: C = 1 +5818 ns P2(a&&b):: C = 0 +5820 ns P1(a||b):: C = 1 +5820 ns P2(a||b):: C = 0 +5824 ns P1(a&&b):: C = 1 +5824 ns P2(a&&b):: C = 0 +5826 ns P1(a||b):: C = 1 +5826 ns P2(a||b):: C = 0 +5830 ns P1(a&&b):: C = 0 +5830 ns P2(a&&b):: C = 1 +5832 ns P1(a||b):: C = 1 +5832 ns P2(a||b):: C = 0 +5836 ns P1(a&&b):: C = 1 +5836 ns P2(a&&b):: C = 0 +5838 ns P1(a||b):: C = 1 +5838 ns P2(a||b):: C = 0 +5842 ns P1(a&&b):: C = 1 +5842 ns P2(a&&b):: C = 0 +5844 ns P1(a||b):: C = 1 +5844 ns P2(a||b):: C = 0 +5848 ns P1(a&&b):: C = 0 +5848 ns P2(a&&b):: C = 1 +5850 ns P1(a||b):: C = 1 +5850 ns P2(a||b):: C = 0 +5854 ns P1(a&&b):: C = 1 +5854 ns P2(a&&b):: C = 0 +5856 ns P1(a||b):: C = 1 +5856 ns P2(a||b):: C = 0 +5860 ns P1(a&&b):: C = 0 +5860 ns P2(a&&b):: C = 1 +5862 ns P1(a||b):: C = 1 +5862 ns P2(a||b):: C = 0 +5866 ns P1(a&&b):: C = 1 +5866 ns P2(a&&b):: C = 0 +5868 ns P1(a||b):: C = 1 +5868 ns P2(a||b):: C = 0 +5872 ns P1(a&&b):: C = 0 +5872 ns P2(a&&b):: C = 1 +5874 ns P1(a||b):: C = 1 +5874 ns P2(a||b):: C = 0 +5878 ns P1(a&&b):: C = 0 +5878 ns P2(a&&b):: C = 1 +5880 ns P1(a||b):: C = 1 +5880 ns P2(a||b):: C = 0 +5884 ns P1(a&&b):: C = 0 +5884 ns P2(a&&b):: C = 1 +5886 ns P1(a||b):: C = 0 +5886 ns P2(a||b):: C = 1 +5890 ns P1(a&&b):: C = 0 +5890 ns P2(a&&b):: C = 1 +5892 ns P1(a||b):: C = 1 +5892 ns P2(a||b):: C = 0 +5896 ns P1(a&&b):: C = 1 +5896 ns P2(a&&b):: C = 0 +5898 ns P1(a||b):: C = 1 +5898 ns P2(a||b):: C = 0 +5902 ns P1(a&&b):: C = 0 +5902 ns P2(a&&b):: C = 1 +5904 ns P1(a||b):: C = 0 +5904 ns P2(a||b):: C = 1 +5908 ns P1(a&&b):: C = 0 +5908 ns P2(a&&b):: C = 1 +5910 ns P1(a||b):: C = 1 +5910 ns P2(a||b):: C = 0 +5914 ns P1(a&&b):: C = 0 +5914 ns P2(a&&b):: C = 1 +5916 ns P1(a||b):: C = 1 +5916 ns P2(a||b):: C = 0 +5920 ns P1(a&&b):: C = 0 +5920 ns P2(a&&b):: C = 1 +5922 ns P1(a||b):: C = 0 +5922 ns P2(a||b):: C = 1 +5926 ns P1(a&&b):: C = 0 +5926 ns P2(a&&b):: C = 1 +5928 ns P1(a||b):: C = 1 +5928 ns P2(a||b):: C = 0 +5932 ns P1(a&&b):: C = 0 +5932 ns P2(a&&b):: C = 1 +5934 ns P1(a||b):: C = 1 +5934 ns P2(a||b):: C = 0 +5938 ns P1(a&&b):: C = 0 +5938 ns P2(a&&b):: C = 1 +5940 ns P1(a||b):: C = 0 +5940 ns P2(a||b):: C = 1 +5944 ns P1(a&&b):: C = 0 +5944 ns P2(a&&b):: C = 1 +5946 ns P1(a||b):: C = 1 +5946 ns P2(a||b):: C = 0 +5950 ns P1(a&&b):: C = 1 +5950 ns P2(a&&b):: C = 0 +5952 ns P1(a||b):: C = 1 +5952 ns P2(a||b):: C = 0 +5956 ns P1(a&&b):: C = 0 +5956 ns P2(a&&b):: C = 1 +5958 ns P1(a||b):: C = 0 +5958 ns P2(a||b):: C = 1 +5962 ns P1(a&&b):: C = 1 +5962 ns P2(a&&b):: C = 0 +5964 ns P1(a||b):: C = 1 +5964 ns P2(a||b):: C = 0 +5968 ns P1(a&&b):: C = 0 +5968 ns P2(a&&b):: C = 1 +5970 ns P1(a||b):: C = 1 +5970 ns P2(a||b):: C = 0 +5974 ns P1(a&&b):: C = 0 +5974 ns P2(a&&b):: C = 1 +5976 ns P1(a||b):: C = 1 +5976 ns P2(a||b):: C = 0 +5980 ns P1(a&&b):: C = 0 +5980 ns P2(a&&b):: C = 1 +5982 ns P1(a||b):: C = 1 +5982 ns P2(a||b):: C = 0 +5986 ns P1(a&&b):: C = 0 +5986 ns P2(a&&b):: C = 1 +5988 ns P1(a||b):: C = 0 +5988 ns P2(a||b):: C = 1 +5992 ns P1(a&&b):: C = 0 +5992 ns P2(a&&b):: C = 1 +5994 ns P1(a||b):: C = 1 +5994 ns P2(a||b):: C = 0 +5998 ns P1(a&&b):: C = 0 +5998 ns P2(a&&b):: C = 1 +6 us P1(a||b):: C = 1 +6 us P2(a||b):: C = 0 +6004 ns P1(a&&b):: C = 0 +6004 ns P2(a&&b):: C = 1 +6006 ns P1(a||b):: C = 0 +6006 ns P2(a||b):: C = 1 +6010 ns P1(a&&b):: C = 0 +6010 ns P2(a&&b):: C = 1 +6012 ns P1(a||b):: C = 1 +6012 ns P2(a||b):: C = 0 +6016 ns P1(a&&b):: C = 0 +6016 ns P2(a&&b):: C = 1 +6018 ns P1(a||b):: C = 1 +6018 ns P2(a||b):: C = 0 +6022 ns P1(a&&b):: C = 0 +6022 ns P2(a&&b):: C = 1 +6024 ns P1(a||b):: C = 1 +6024 ns P2(a||b):: C = 0 +6028 ns P1(a&&b):: C = 1 +6028 ns P2(a&&b):: C = 0 +6030 ns P1(a||b):: C = 1 +6030 ns P2(a||b):: C = 0 +6034 ns P1(a&&b):: C = 0 +6034 ns P2(a&&b):: C = 1 +6036 ns P1(a||b):: C = 0 +6036 ns P2(a||b):: C = 1 +6040 ns P1(a&&b):: C = 0 +6040 ns P2(a&&b):: C = 1 +6042 ns P1(a||b):: C = 1 +6042 ns P2(a||b):: C = 0 +6046 ns P1(a&&b):: C = 1 +6046 ns P2(a&&b):: C = 0 +6048 ns P1(a||b):: C = 1 +6048 ns P2(a||b):: C = 0 +6052 ns P1(a&&b):: C = 1 +6052 ns P2(a&&b):: C = 0 +6054 ns P1(a||b):: C = 1 +6054 ns P2(a||b):: C = 0 +6058 ns P1(a&&b):: C = 0 +6058 ns P2(a&&b):: C = 1 +6060 ns P1(a||b):: C = 1 +6060 ns P2(a||b):: C = 0 +6064 ns P1(a&&b):: C = 0 +6064 ns P2(a&&b):: C = 1 +6066 ns P1(a||b):: C = 1 +6066 ns P2(a||b):: C = 0 +6070 ns P1(a&&b):: C = 1 +6070 ns P2(a&&b):: C = 0 +6072 ns P1(a||b):: C = 1 +6072 ns P2(a||b):: C = 0 +6076 ns P1(a&&b):: C = 1 +6076 ns P2(a&&b):: C = 0 +6078 ns P1(a||b):: C = 1 +6078 ns P2(a||b):: C = 0 +6082 ns P1(a&&b):: C = 0 +6082 ns P2(a&&b):: C = 1 +6084 ns P1(a||b):: C = 1 +6084 ns P2(a||b):: C = 0 +6088 ns P1(a&&b):: C = 0 +6088 ns P2(a&&b):: C = 1 +6090 ns P1(a||b):: C = 0 +6090 ns P2(a||b):: C = 1 +6094 ns P1(a&&b):: C = 0 +6094 ns P2(a&&b):: C = 1 +6096 ns P1(a||b):: C = 1 +6096 ns P2(a||b):: C = 0 +6100 ns P1(a&&b):: C = 0 +6100 ns P2(a&&b):: C = 1 +6102 ns P1(a||b):: C = 0 +6102 ns P2(a||b):: C = 1 +6106 ns P1(a&&b):: C = 0 +6106 ns P2(a&&b):: C = 1 +6108 ns P1(a||b):: C = 1 +6108 ns P2(a||b):: C = 0 +6112 ns P1(a&&b):: C = 0 +6112 ns P2(a&&b):: C = 1 +6114 ns P1(a||b):: C = 1 +6114 ns P2(a||b):: C = 0 +6118 ns P1(a&&b):: C = 0 +6118 ns P2(a&&b):: C = 1 +6120 ns P1(a||b):: C = 1 +6120 ns P2(a||b):: C = 0 +6124 ns P1(a&&b):: C = 1 +6124 ns P2(a&&b):: C = 0 +6126 ns P1(a||b):: C = 1 +6126 ns P2(a||b):: C = 0 +6130 ns P1(a&&b):: C = 0 +6130 ns P2(a&&b):: C = 1 +6132 ns P1(a||b):: C = 1 +6132 ns P2(a||b):: C = 0 +6136 ns P1(a&&b):: C = 0 +6136 ns P2(a&&b):: C = 1 +6138 ns P1(a||b):: C = 0 +6138 ns P2(a||b):: C = 1 +6142 ns P1(a&&b):: C = 0 +6142 ns P2(a&&b):: C = 1 +6144 ns P1(a||b):: C = 1 +6144 ns P2(a||b):: C = 0 +6148 ns P1(a&&b):: C = 0 +6148 ns P2(a&&b):: C = 1 +6150 ns P1(a||b):: C = 0 +6150 ns P2(a||b):: C = 1 +6154 ns P1(a&&b):: C = 0 +6154 ns P2(a&&b):: C = 1 +6156 ns P1(a||b):: C = 0 +6156 ns P2(a||b):: C = 1 +6160 ns P1(a&&b):: C = 0 +6160 ns P2(a&&b):: C = 1 +6162 ns P1(a||b):: C = 1 +6162 ns P2(a||b):: C = 0 +6166 ns P1(a&&b):: C = 0 +6166 ns P2(a&&b):: C = 1 +6168 ns P1(a||b):: C = 0 +6168 ns P2(a||b):: C = 1 +6172 ns P1(a&&b):: C = 0 +6172 ns P2(a&&b):: C = 1 +6174 ns P1(a||b):: C = 1 +6174 ns P2(a||b):: C = 0 +6178 ns P1(a&&b):: C = 1 +6178 ns P2(a&&b):: C = 0 +6180 ns P1(a||b):: C = 1 +6180 ns P2(a||b):: C = 0 +6184 ns P1(a&&b):: C = 0 +6184 ns P2(a&&b):: C = 1 +6186 ns P1(a||b):: C = 1 +6186 ns P2(a||b):: C = 0 +6190 ns P1(a&&b):: C = 1 +6190 ns P2(a&&b):: C = 0 +6192 ns P1(a||b):: C = 1 +6192 ns P2(a||b):: C = 0 +6196 ns P1(a&&b):: C = 0 +6196 ns P2(a&&b):: C = 1 +6198 ns P1(a||b):: C = 1 +6198 ns P2(a||b):: C = 0 +6202 ns P1(a&&b):: C = 0 +6202 ns P2(a&&b):: C = 1 +6204 ns P1(a||b):: C = 1 +6204 ns P2(a||b):: C = 0 +6208 ns P1(a&&b):: C = 0 +6208 ns P2(a&&b):: C = 1 +6210 ns P1(a||b):: C = 1 +6210 ns P2(a||b):: C = 0 +6214 ns P1(a&&b):: C = 0 +6214 ns P2(a&&b):: C = 1 +6216 ns P1(a||b):: C = 1 +6216 ns P2(a||b):: C = 0 +6220 ns P1(a&&b):: C = 1 +6220 ns P2(a&&b):: C = 0 +6222 ns P1(a||b):: C = 1 +6222 ns P2(a||b):: C = 0 +6226 ns P1(a&&b):: C = 1 +6226 ns P2(a&&b):: C = 0 +6228 ns P1(a||b):: C = 0 +6228 ns P2(a||b):: C = 1 +6232 ns P1(a&&b):: C = 0 +6232 ns P2(a&&b):: C = 1 +6234 ns P1(a||b):: C = 1 +6234 ns P2(a||b):: C = 0 +6238 ns P1(a&&b):: C = 0 +6238 ns P2(a&&b):: C = 1 +6240 ns P1(a||b):: C = 0 +6240 ns P2(a||b):: C = 1 +6244 ns P1(a&&b):: C = 0 +6244 ns P2(a&&b):: C = 1 +6246 ns P1(a||b):: C = 1 +6246 ns P2(a||b):: C = 0 +6250 ns P1(a&&b):: C = 0 +6250 ns P2(a&&b):: C = 1 +6252 ns P1(a||b):: C = 1 +6252 ns P2(a||b):: C = 0 +6256 ns P1(a&&b):: C = 0 +6256 ns P2(a&&b):: C = 1 +6258 ns P1(a||b):: C = 0 +6258 ns P2(a||b):: C = 1 +6262 ns P1(a&&b):: C = 0 +6262 ns P2(a&&b):: C = 1 +6264 ns P1(a||b):: C = 1 +6264 ns P2(a||b):: C = 0 +6268 ns P1(a&&b):: C = 0 +6268 ns P2(a&&b):: C = 1 +6270 ns P1(a||b):: C = 1 +6270 ns P2(a||b):: C = 0 +6274 ns P1(a&&b):: C = 0 +6274 ns P2(a&&b):: C = 1 +6276 ns P1(a||b):: C = 1 +6276 ns P2(a||b):: C = 0 +6280 ns P1(a&&b):: C = 0 +6280 ns P2(a&&b):: C = 1 +6282 ns P1(a||b):: C = 1 +6282 ns P2(a||b):: C = 0 +6286 ns P1(a&&b):: C = 0 +6286 ns P2(a&&b):: C = 1 +6288 ns P1(a||b):: C = 1 +6288 ns P2(a||b):: C = 0 +6292 ns P1(a&&b):: C = 1 +6292 ns P2(a&&b):: C = 0 +6294 ns P1(a||b):: C = 0 +6294 ns P2(a||b):: C = 1 +6298 ns P1(a&&b):: C = 0 +6298 ns P2(a&&b):: C = 1 +6300 ns P1(a||b):: C = 1 +6300 ns P2(a||b):: C = 0 +6304 ns P1(a&&b):: C = 1 +6304 ns P2(a&&b):: C = 0 +6306 ns P1(a||b):: C = 0 +6306 ns P2(a||b):: C = 1 +6310 ns P1(a&&b):: C = 0 +6310 ns P2(a&&b):: C = 1 +6312 ns P1(a||b):: C = 1 +6312 ns P2(a||b):: C = 0 +6316 ns P1(a&&b):: C = 1 +6316 ns P2(a&&b):: C = 0 +6318 ns P1(a||b):: C = 1 +6318 ns P2(a||b):: C = 0 +6322 ns P1(a&&b):: C = 0 +6322 ns P2(a&&b):: C = 1 +6324 ns P1(a||b):: C = 0 +6324 ns P2(a||b):: C = 1 +6328 ns P1(a&&b):: C = 0 +6328 ns P2(a&&b):: C = 1 +6330 ns P1(a||b):: C = 1 +6330 ns P2(a||b):: C = 0 +6334 ns P1(a&&b):: C = 0 +6334 ns P2(a&&b):: C = 1 +6336 ns P1(a||b):: C = 1 +6336 ns P2(a||b):: C = 0 +6340 ns P1(a&&b):: C = 1 +6340 ns P2(a&&b):: C = 0 +6342 ns P1(a||b):: C = 1 +6342 ns P2(a||b):: C = 0 +6346 ns P1(a&&b):: C = 0 +6346 ns P2(a&&b):: C = 1 +6348 ns P1(a||b):: C = 1 +6348 ns P2(a||b):: C = 0 +6352 ns P1(a&&b):: C = 0 +6352 ns P2(a&&b):: C = 1 +6354 ns P1(a||b):: C = 0 +6354 ns P2(a||b):: C = 1 +6358 ns P1(a&&b):: C = 1 +6358 ns P2(a&&b):: C = 0 +6360 ns P1(a||b):: C = 1 +6360 ns P2(a||b):: C = 0 +6364 ns P1(a&&b):: C = 0 +6364 ns P2(a&&b):: C = 1 +6366 ns P1(a||b):: C = 0 +6366 ns P2(a||b):: C = 1 +6370 ns P1(a&&b):: C = 1 +6370 ns P2(a&&b):: C = 0 +6372 ns P1(a||b):: C = 0 +6372 ns P2(a||b):: C = 1 +6376 ns P1(a&&b):: C = 1 +6376 ns P2(a&&b):: C = 0 +6378 ns P1(a||b):: C = 1 +6378 ns P2(a||b):: C = 0 +6382 ns P1(a&&b):: C = 1 +6382 ns P2(a&&b):: C = 0 +6384 ns P1(a||b):: C = 0 +6384 ns P2(a||b):: C = 1 +6388 ns P1(a&&b):: C = 0 +6388 ns P2(a&&b):: C = 1 +6390 ns P1(a||b):: C = 1 +6390 ns P2(a||b):: C = 0 +6394 ns P1(a&&b):: C = 0 +6394 ns P2(a&&b):: C = 1 +6396 ns P1(a||b):: C = 1 +6396 ns P2(a||b):: C = 0 +6400 ns P1(a&&b):: C = 1 +6400 ns P2(a&&b):: C = 0 +6402 ns P1(a||b):: C = 1 +6402 ns P2(a||b):: C = 0 +6406 ns P1(a&&b):: C = 0 +6406 ns P2(a&&b):: C = 1 +6408 ns P1(a||b):: C = 1 +6408 ns P2(a||b):: C = 0 +6412 ns P1(a&&b):: C = 0 +6412 ns P2(a&&b):: C = 1 +6414 ns P1(a||b):: C = 1 +6414 ns P2(a||b):: C = 0 +6418 ns P1(a&&b):: C = 0 +6418 ns P2(a&&b):: C = 1 +6420 ns P1(a||b):: C = 1 +6420 ns P2(a||b):: C = 0 +6424 ns P1(a&&b):: C = 1 +6424 ns P2(a&&b):: C = 0 +6426 ns P1(a||b):: C = 0 +6426 ns P2(a||b):: C = 1 +6430 ns P1(a&&b):: C = 0 +6430 ns P2(a&&b):: C = 1 +6432 ns P1(a||b):: C = 1 +6432 ns P2(a||b):: C = 0 +6436 ns P1(a&&b):: C = 0 +6436 ns P2(a&&b):: C = 1 +6438 ns P1(a||b):: C = 0 +6438 ns P2(a||b):: C = 1 +6442 ns P1(a&&b):: C = 0 +6442 ns P2(a&&b):: C = 1 +6444 ns P1(a||b):: C = 1 +6444 ns P2(a||b):: C = 0 +6448 ns P1(a&&b):: C = 0 +6448 ns P2(a&&b):: C = 1 +6450 ns P1(a||b):: C = 1 +6450 ns P2(a||b):: C = 0 +6454 ns P1(a&&b):: C = 0 +6454 ns P2(a&&b):: C = 1 +6456 ns P1(a||b):: C = 0 +6456 ns P2(a||b):: C = 1 +6460 ns P1(a&&b):: C = 0 +6460 ns P2(a&&b):: C = 1 +6462 ns P1(a||b):: C = 1 +6462 ns P2(a||b):: C = 0 +6466 ns P1(a&&b):: C = 0 +6466 ns P2(a&&b):: C = 1 +6468 ns P1(a||b):: C = 1 +6468 ns P2(a||b):: C = 0 +6472 ns P1(a&&b):: C = 1 +6472 ns P2(a&&b):: C = 0 +6474 ns P1(a||b):: C = 1 +6474 ns P2(a||b):: C = 0 +6478 ns P1(a&&b):: C = 0 +6478 ns P2(a&&b):: C = 1 +6480 ns P1(a||b):: C = 1 +6480 ns P2(a||b):: C = 0 +6484 ns P1(a&&b):: C = 0 +6484 ns P2(a&&b):: C = 1 +6486 ns P1(a||b):: C = 1 +6486 ns P2(a||b):: C = 0 +6490 ns P1(a&&b):: C = 0 +6490 ns P2(a&&b):: C = 1 +6492 ns P1(a||b):: C = 1 +6492 ns P2(a||b):: C = 0 +6496 ns P1(a&&b):: C = 0 +6496 ns P2(a&&b):: C = 1 +6498 ns P1(a||b):: C = 1 +6498 ns P2(a||b):: C = 0 +6502 ns P1(a&&b):: C = 0 +6502 ns P2(a&&b):: C = 1 +6504 ns P1(a||b):: C = 0 +6504 ns P2(a||b):: C = 1 +6508 ns P1(a&&b):: C = 1 +6508 ns P2(a&&b):: C = 0 +6510 ns P1(a||b):: C = 0 +6510 ns P2(a||b):: C = 1 +6514 ns P1(a&&b):: C = 1 +6514 ns P2(a&&b):: C = 0 +6516 ns P1(a||b):: C = 0 +6516 ns P2(a||b):: C = 1 +6520 ns P1(a&&b):: C = 1 +6520 ns P2(a&&b):: C = 0 +6522 ns P1(a||b):: C = 1 +6522 ns P2(a||b):: C = 0 +6526 ns P1(a&&b):: C = 1 +6526 ns P2(a&&b):: C = 0 +6528 ns P1(a||b):: C = 1 +6528 ns P2(a||b):: C = 0 +6532 ns P1(a&&b):: C = 0 +6532 ns P2(a&&b):: C = 1 +6534 ns P1(a||b):: C = 1 +6534 ns P2(a||b):: C = 0 +6538 ns P1(a&&b):: C = 1 +6538 ns P2(a&&b):: C = 0 +6540 ns P1(a||b):: C = 1 +6540 ns P2(a||b):: C = 0 +6544 ns P1(a&&b):: C = 0 +6544 ns P2(a&&b):: C = 1 +6546 ns P1(a||b):: C = 1 +6546 ns P2(a||b):: C = 0 +6550 ns P1(a&&b):: C = 0 +6550 ns P2(a&&b):: C = 1 +6552 ns P1(a||b):: C = 1 +6552 ns P2(a||b):: C = 0 +6556 ns P1(a&&b):: C = 0 +6556 ns P2(a&&b):: C = 1 +6558 ns P1(a||b):: C = 0 +6558 ns P2(a||b):: C = 1 +6562 ns P1(a&&b):: C = 0 +6562 ns P2(a&&b):: C = 1 +6564 ns P1(a||b):: C = 1 +6564 ns P2(a||b):: C = 0 +6568 ns P1(a&&b):: C = 0 +6568 ns P2(a&&b):: C = 1 +6570 ns P1(a||b):: C = 1 +6570 ns P2(a||b):: C = 0 +6574 ns P1(a&&b):: C = 0 +6574 ns P2(a&&b):: C = 1 +6576 ns P1(a||b):: C = 1 +6576 ns P2(a||b):: C = 0 +6580 ns P1(a&&b):: C = 0 +6580 ns P2(a&&b):: C = 1 +6582 ns P1(a||b):: C = 0 +6582 ns P2(a||b):: C = 1 +6586 ns P1(a&&b):: C = 0 +6586 ns P2(a&&b):: C = 1 +6588 ns P1(a||b):: C = 1 +6588 ns P2(a||b):: C = 0 +6592 ns P1(a&&b):: C = 1 +6592 ns P2(a&&b):: C = 0 +6594 ns P1(a||b):: C = 1 +6594 ns P2(a||b):: C = 0 +6598 ns P1(a&&b):: C = 0 +6598 ns P2(a&&b):: C = 1 +6600 ns P1(a||b):: C = 0 +6600 ns P2(a||b):: C = 1 +6604 ns P1(a&&b):: C = 1 +6604 ns P2(a&&b):: C = 0 +6606 ns P1(a||b):: C = 1 +6606 ns P2(a||b):: C = 0 +6610 ns P1(a&&b):: C = 0 +6610 ns P2(a&&b):: C = 1 +6612 ns P1(a||b):: C = 1 +6612 ns P2(a||b):: C = 0 +6616 ns P1(a&&b):: C = 0 +6616 ns P2(a&&b):: C = 1 +6618 ns P1(a||b):: C = 0 +6618 ns P2(a||b):: C = 1 +6622 ns P1(a&&b):: C = 0 +6622 ns P2(a&&b):: C = 1 +6624 ns P1(a||b):: C = 1 +6624 ns P2(a||b):: C = 0 +6628 ns P1(a&&b):: C = 0 +6628 ns P2(a&&b):: C = 1 +6630 ns P1(a||b):: C = 1 +6630 ns P2(a||b):: C = 0 +6634 ns P1(a&&b):: C = 0 +6634 ns P2(a&&b):: C = 1 +6636 ns P1(a||b):: C = 1 +6636 ns P2(a||b):: C = 0 +6640 ns P1(a&&b):: C = 0 +6640 ns P2(a&&b):: C = 1 +6642 ns P1(a||b):: C = 1 +6642 ns P2(a||b):: C = 0 +6646 ns P1(a&&b):: C = 1 +6646 ns P2(a&&b):: C = 0 +6648 ns P1(a||b):: C = 1 +6648 ns P2(a||b):: C = 0 +6652 ns P1(a&&b):: C = 0 +6652 ns P2(a&&b):: C = 1 +6654 ns P1(a||b):: C = 1 +6654 ns P2(a||b):: C = 0 +6658 ns P1(a&&b):: C = 1 +6658 ns P2(a&&b):: C = 0 +6660 ns P1(a||b):: C = 1 +6660 ns P2(a||b):: C = 0 +6664 ns P1(a&&b):: C = 0 +6664 ns P2(a&&b):: C = 1 +6666 ns P1(a||b):: C = 1 +6666 ns P2(a||b):: C = 0 +6670 ns P1(a&&b):: C = 0 +6670 ns P2(a&&b):: C = 1 +6672 ns P1(a||b):: C = 1 +6672 ns P2(a||b):: C = 0 +6676 ns P1(a&&b):: C = 0 +6676 ns P2(a&&b):: C = 1 +6678 ns P1(a||b):: C = 1 +6678 ns P2(a||b):: C = 0 +6682 ns P1(a&&b):: C = 0 +6682 ns P2(a&&b):: C = 1 +6684 ns P1(a||b):: C = 1 +6684 ns P2(a||b):: C = 0 +6688 ns P1(a&&b):: C = 0 +6688 ns P2(a&&b):: C = 1 +6690 ns P1(a||b):: C = 0 +6690 ns P2(a||b):: C = 1 +6694 ns P1(a&&b):: C = 0 +6694 ns P2(a&&b):: C = 1 +6696 ns P1(a||b):: C = 1 +6696 ns P2(a||b):: C = 0 +6700 ns P1(a&&b):: C = 0 +6700 ns P2(a&&b):: C = 1 +6702 ns P1(a||b):: C = 1 +6702 ns P2(a||b):: C = 0 +6706 ns P1(a&&b):: C = 1 +6706 ns P2(a&&b):: C = 0 +6708 ns P1(a||b):: C = 1 +6708 ns P2(a||b):: C = 0 +6712 ns P1(a&&b):: C = 0 +6712 ns P2(a&&b):: C = 1 +6714 ns P1(a||b):: C = 1 +6714 ns P2(a||b):: C = 0 +6718 ns P1(a&&b):: C = 0 +6718 ns P2(a&&b):: C = 1 +6720 ns P1(a||b):: C = 1 +6720 ns P2(a||b):: C = 0 +6724 ns P1(a&&b):: C = 0 +6724 ns P2(a&&b):: C = 1 +6726 ns P1(a||b):: C = 1 +6726 ns P2(a||b):: C = 0 +6730 ns P1(a&&b):: C = 0 +6730 ns P2(a&&b):: C = 1 +6732 ns P1(a||b):: C = 1 +6732 ns P2(a||b):: C = 0 +6736 ns P1(a&&b):: C = 1 +6736 ns P2(a&&b):: C = 0 +6738 ns P1(a||b):: C = 1 +6738 ns P2(a||b):: C = 0 +6742 ns P1(a&&b):: C = 0 +6742 ns P2(a&&b):: C = 1 +6744 ns P1(a||b):: C = 0 +6744 ns P2(a||b):: C = 1 +6748 ns P1(a&&b):: C = 0 +6748 ns P2(a&&b):: C = 1 +6750 ns P1(a||b):: C = 1 +6750 ns P2(a||b):: C = 0 +6754 ns P1(a&&b):: C = 0 +6754 ns P2(a&&b):: C = 1 +6756 ns P1(a||b):: C = 1 +6756 ns P2(a||b):: C = 0 +6760 ns P1(a&&b):: C = 0 +6760 ns P2(a&&b):: C = 1 +6762 ns P1(a||b):: C = 1 +6762 ns P2(a||b):: C = 0 +6766 ns P1(a&&b):: C = 0 +6766 ns P2(a&&b):: C = 1 +6768 ns P1(a||b):: C = 1 +6768 ns P2(a||b):: C = 0 +6772 ns P1(a&&b):: C = 1 +6772 ns P2(a&&b):: C = 0 +6774 ns P1(a||b):: C = 1 +6774 ns P2(a||b):: C = 0 +6778 ns P1(a&&b):: C = 0 +6778 ns P2(a&&b):: C = 1 +6780 ns P1(a||b):: C = 0 +6780 ns P2(a||b):: C = 1 +6784 ns P1(a&&b):: C = 1 +6784 ns P2(a&&b):: C = 0 +6786 ns P1(a||b):: C = 1 +6786 ns P2(a||b):: C = 0 +6790 ns P1(a&&b):: C = 0 +6790 ns P2(a&&b):: C = 1 +6792 ns P1(a||b):: C = 1 +6792 ns P2(a||b):: C = 0 +6796 ns P1(a&&b):: C = 0 +6796 ns P2(a&&b):: C = 1 +6798 ns P1(a||b):: C = 1 +6798 ns P2(a||b):: C = 0 +6802 ns P1(a&&b):: C = 1 +6802 ns P2(a&&b):: C = 0 +6804 ns P1(a||b):: C = 1 +6804 ns P2(a||b):: C = 0 +6808 ns P1(a&&b):: C = 0 +6808 ns P2(a&&b):: C = 1 +6810 ns P1(a||b):: C = 1 +6810 ns P2(a||b):: C = 0 +6814 ns P1(a&&b):: C = 1 +6814 ns P2(a&&b):: C = 0 +6816 ns P1(a||b):: C = 0 +6816 ns P2(a||b):: C = 1 +6820 ns P1(a&&b):: C = 1 +6820 ns P2(a&&b):: C = 0 +6822 ns P1(a||b):: C = 1 +6822 ns P2(a||b):: C = 0 +6826 ns P1(a&&b):: C = 1 +6826 ns P2(a&&b):: C = 0 +6828 ns P1(a||b):: C = 1 +6828 ns P2(a||b):: C = 0 +6832 ns P1(a&&b):: C = 0 +6832 ns P2(a&&b):: C = 1 +6834 ns P1(a||b):: C = 1 +6834 ns P2(a||b):: C = 0 +6838 ns P1(a&&b):: C = 0 +6838 ns P2(a&&b):: C = 1 +6840 ns P1(a||b):: C = 0 +6840 ns P2(a||b):: C = 1 +6844 ns P1(a&&b):: C = 1 +6844 ns P2(a&&b):: C = 0 +6846 ns P1(a||b):: C = 0 +6846 ns P2(a||b):: C = 1 +6850 ns P1(a&&b):: C = 1 +6850 ns P2(a&&b):: C = 0 +6852 ns P1(a||b):: C = 0 +6852 ns P2(a||b):: C = 1 +6856 ns P1(a&&b):: C = 0 +6856 ns P2(a&&b):: C = 1 +6858 ns P1(a||b):: C = 1 +6858 ns P2(a||b):: C = 0 +6862 ns P1(a&&b):: C = 1 +6862 ns P2(a&&b):: C = 0 +6864 ns P1(a||b):: C = 0 +6864 ns P2(a||b):: C = 1 +6868 ns P1(a&&b):: C = 0 +6868 ns P2(a&&b):: C = 1 +6870 ns P1(a||b):: C = 1 +6870 ns P2(a||b):: C = 0 +6874 ns P1(a&&b):: C = 1 +6874 ns P2(a&&b):: C = 0 +6876 ns P1(a||b):: C = 1 +6876 ns P2(a||b):: C = 0 +6880 ns P1(a&&b):: C = 0 +6880 ns P2(a&&b):: C = 1 +6882 ns P1(a||b):: C = 1 +6882 ns P2(a||b):: C = 0 +6886 ns P1(a&&b):: C = 0 +6886 ns P2(a&&b):: C = 1 +6888 ns P1(a||b):: C = 1 +6888 ns P2(a||b):: C = 0 +6892 ns P1(a&&b):: C = 0 +6892 ns P2(a&&b):: C = 1 +6894 ns P1(a||b):: C = 1 +6894 ns P2(a||b):: C = 0 +6898 ns P1(a&&b):: C = 1 +6898 ns P2(a&&b):: C = 0 +6900 ns P1(a||b):: C = 0 +6900 ns P2(a||b):: C = 1 +6904 ns P1(a&&b):: C = 0 +6904 ns P2(a&&b):: C = 1 +6906 ns P1(a||b):: C = 1 +6906 ns P2(a||b):: C = 0 +6910 ns P1(a&&b):: C = 1 +6910 ns P2(a&&b):: C = 0 +6912 ns P1(a||b):: C = 1 +6912 ns P2(a||b):: C = 0 +6916 ns P1(a&&b):: C = 0 +6916 ns P2(a&&b):: C = 1 +6918 ns P1(a||b):: C = 1 +6918 ns P2(a||b):: C = 0 +6922 ns P1(a&&b):: C = 0 +6922 ns P2(a&&b):: C = 1 +6924 ns P1(a||b):: C = 1 +6924 ns P2(a||b):: C = 0 +6928 ns P1(a&&b):: C = 0 +6928 ns P2(a&&b):: C = 1 +6930 ns P1(a||b):: C = 0 +6930 ns P2(a||b):: C = 1 +6934 ns P1(a&&b):: C = 0 +6934 ns P2(a&&b):: C = 1 +6936 ns P1(a||b):: C = 1 +6936 ns P2(a||b):: C = 0 +6940 ns P1(a&&b):: C = 0 +6940 ns P2(a&&b):: C = 1 +6942 ns P1(a||b):: C = 1 +6942 ns P2(a||b):: C = 0 +6946 ns P1(a&&b):: C = 0 +6946 ns P2(a&&b):: C = 1 +6948 ns P1(a||b):: C = 0 +6948 ns P2(a||b):: C = 1 +6952 ns P1(a&&b):: C = 0 +6952 ns P2(a&&b):: C = 1 +6954 ns P1(a||b):: C = 1 +6954 ns P2(a||b):: C = 0 +6958 ns P1(a&&b):: C = 0 +6958 ns P2(a&&b):: C = 1 +6960 ns P1(a||b):: C = 0 +6960 ns P2(a||b):: C = 1 +6964 ns P1(a&&b):: C = 0 +6964 ns P2(a&&b):: C = 1 +6966 ns P1(a||b):: C = 0 +6966 ns P2(a||b):: C = 1 +6970 ns P1(a&&b):: C = 0 +6970 ns P2(a&&b):: C = 1 +6972 ns P1(a||b):: C = 1 +6972 ns P2(a||b):: C = 0 +6976 ns P1(a&&b):: C = 0 +6976 ns P2(a&&b):: C = 1 +6978 ns P1(a||b):: C = 1 +6978 ns P2(a||b):: C = 0 +6982 ns P1(a&&b):: C = 0 +6982 ns P2(a&&b):: C = 1 +6984 ns P1(a||b):: C = 1 +6984 ns P2(a||b):: C = 0 +6988 ns P1(a&&b):: C = 0 +6988 ns P2(a&&b):: C = 1 +6990 ns P1(a||b):: C = 1 +6990 ns P2(a||b):: C = 0 +6994 ns P1(a&&b):: C = 0 +6994 ns P2(a&&b):: C = 1 +6996 ns P1(a||b):: C = 1 +6996 ns P2(a||b):: C = 0 +7 us P1(a&&b):: C = 0 +7 us P2(a&&b):: C = 1 +7002 ns P1(a||b):: C = 1 +7002 ns P2(a||b):: C = 0 +7006 ns P1(a&&b):: C = 0 +7006 ns P2(a&&b):: C = 1 +7008 ns P1(a||b):: C = 0 +7008 ns P2(a||b):: C = 1 +7012 ns P1(a&&b):: C = 1 +7012 ns P2(a&&b):: C = 0 +7014 ns P1(a||b):: C = 1 +7014 ns P2(a||b):: C = 0 +7018 ns P1(a&&b):: C = 0 +7018 ns P2(a&&b):: C = 1 +7020 ns P1(a||b):: C = 1 +7020 ns P2(a||b):: C = 0 +7024 ns P1(a&&b):: C = 0 +7024 ns P2(a&&b):: C = 1 +7026 ns P1(a||b):: C = 1 +7026 ns P2(a||b):: C = 0 +7030 ns P1(a&&b):: C = 0 +7030 ns P2(a&&b):: C = 1 +7032 ns P1(a||b):: C = 1 +7032 ns P2(a||b):: C = 0 +7036 ns P1(a&&b):: C = 1 +7036 ns P2(a&&b):: C = 0 +7038 ns P1(a||b):: C = 0 +7038 ns P2(a||b):: C = 1 +7042 ns P1(a&&b):: C = 0 +7042 ns P2(a&&b):: C = 1 +7044 ns P1(a||b):: C = 1 +7044 ns P2(a||b):: C = 0 +7048 ns P1(a&&b):: C = 0 +7048 ns P2(a&&b):: C = 1 +7050 ns P1(a||b):: C = 1 +7050 ns P2(a||b):: C = 0 +7054 ns P1(a&&b):: C = 1 +7054 ns P2(a&&b):: C = 0 +7056 ns P1(a||b):: C = 1 +7056 ns P2(a||b):: C = 0 +7060 ns P1(a&&b):: C = 0 +7060 ns P2(a&&b):: C = 1 +7062 ns P1(a||b):: C = 1 +7062 ns P2(a||b):: C = 0 +7066 ns P1(a&&b):: C = 0 +7066 ns P2(a&&b):: C = 1 +7068 ns P1(a||b):: C = 1 +7068 ns P2(a||b):: C = 0 +7072 ns P1(a&&b):: C = 0 +7072 ns P2(a&&b):: C = 1 +7074 ns P1(a||b):: C = 1 +7074 ns P2(a||b):: C = 0 +7078 ns P1(a&&b):: C = 0 +7078 ns P2(a&&b):: C = 1 +7080 ns P1(a||b):: C = 0 +7080 ns P2(a||b):: C = 1 +7084 ns P1(a&&b):: C = 0 +7084 ns P2(a&&b):: C = 1 +7086 ns P1(a||b):: C = 1 +7086 ns P2(a||b):: C = 0 +7090 ns P1(a&&b):: C = 0 +7090 ns P2(a&&b):: C = 1 +7092 ns P1(a||b):: C = 1 +7092 ns P2(a||b):: C = 0 +7096 ns P1(a&&b):: C = 0 +7096 ns P2(a&&b):: C = 1 +7098 ns P1(a||b):: C = 1 +7098 ns P2(a||b):: C = 0 +7102 ns P1(a&&b):: C = 0 +7102 ns P2(a&&b):: C = 1 +7104 ns P1(a||b):: C = 1 +7104 ns P2(a||b):: C = 0 +7108 ns P1(a&&b):: C = 0 +7108 ns P2(a&&b):: C = 1 +7110 ns P1(a||b):: C = 0 +7110 ns P2(a||b):: C = 1 +7114 ns P1(a&&b):: C = 1 +7114 ns P2(a&&b):: C = 0 +7116 ns P1(a||b):: C = 1 +7116 ns P2(a||b):: C = 0 +7120 ns P1(a&&b):: C = 1 +7120 ns P2(a&&b):: C = 0 +7122 ns P1(a||b):: C = 1 +7122 ns P2(a||b):: C = 0 +7126 ns P1(a&&b):: C = 0 +7126 ns P2(a&&b):: C = 1 +7128 ns P1(a||b):: C = 1 +7128 ns P2(a||b):: C = 0 +7132 ns P1(a&&b):: C = 0 +7132 ns P2(a&&b):: C = 1 +7134 ns P1(a||b):: C = 1 +7134 ns P2(a||b):: C = 0 +7138 ns P1(a&&b):: C = 0 +7138 ns P2(a&&b):: C = 1 +7140 ns P1(a||b):: C = 0 +7140 ns P2(a||b):: C = 1 +7144 ns P1(a&&b):: C = 0 +7144 ns P2(a&&b):: C = 1 +7146 ns P1(a||b):: C = 0 +7146 ns P2(a||b):: C = 1 +7150 ns P1(a&&b):: C = 0 +7150 ns P2(a&&b):: C = 1 +7152 ns P1(a||b):: C = 0 +7152 ns P2(a||b):: C = 1 +7156 ns P1(a&&b):: C = 0 +7156 ns P2(a&&b):: C = 1 +7158 ns P1(a||b):: C = 1 +7158 ns P2(a||b):: C = 0 +7162 ns P1(a&&b):: C = 0 +7162 ns P2(a&&b):: C = 1 +7164 ns P1(a||b):: C = 1 +7164 ns P2(a||b):: C = 0 +7168 ns P1(a&&b):: C = 0 +7168 ns P2(a&&b):: C = 1 +7170 ns P1(a||b):: C = 1 +7170 ns P2(a||b):: C = 0 +7174 ns P1(a&&b):: C = 0 +7174 ns P2(a&&b):: C = 1 +7176 ns P1(a||b):: C = 1 +7176 ns P2(a||b):: C = 0 +7180 ns P1(a&&b):: C = 0 +7180 ns P2(a&&b):: C = 1 +7182 ns P1(a||b):: C = 1 +7182 ns P2(a||b):: C = 0 +7186 ns P1(a&&b):: C = 0 +7186 ns P2(a&&b):: C = 1 +7188 ns P1(a||b):: C = 1 +7188 ns P2(a||b):: C = 0 +7192 ns P1(a&&b):: C = 0 +7192 ns P2(a&&b):: C = 1 +7194 ns P1(a||b):: C = 1 +7194 ns P2(a||b):: C = 0 +7198 ns P1(a&&b):: C = 0 +7198 ns P2(a&&b):: C = 1 +7200 ns P1(a||b):: C = 1 +7200 ns P2(a||b):: C = 0 +7204 ns P1(a&&b):: C = 1 +7204 ns P2(a&&b):: C = 0 +7206 ns P1(a||b):: C = 0 +7206 ns P2(a||b):: C = 1 +7210 ns P1(a&&b):: C = 1 +7210 ns P2(a&&b):: C = 0 +7212 ns P1(a||b):: C = 1 +7212 ns P2(a||b):: C = 0 +7216 ns P1(a&&b):: C = 0 +7216 ns P2(a&&b):: C = 1 +7218 ns P1(a||b):: C = 1 +7218 ns P2(a||b):: C = 0 +7222 ns P1(a&&b):: C = 0 +7222 ns P2(a&&b):: C = 1 +7224 ns P1(a||b):: C = 1 +7224 ns P2(a||b):: C = 0 +7228 ns P1(a&&b):: C = 0 +7228 ns P2(a&&b):: C = 1 +7230 ns P1(a||b):: C = 1 +7230 ns P2(a||b):: C = 0 +7234 ns P1(a&&b):: C = 1 +7234 ns P2(a&&b):: C = 0 +7236 ns P1(a||b):: C = 0 +7236 ns P2(a||b):: C = 1 +7240 ns P1(a&&b):: C = 0 +7240 ns P2(a&&b):: C = 1 +7242 ns P1(a||b):: C = 0 +7242 ns P2(a||b):: C = 1 +7246 ns P1(a&&b):: C = 0 +7246 ns P2(a&&b):: C = 1 +7248 ns P1(a||b):: C = 1 +7248 ns P2(a||b):: C = 0 +7252 ns P1(a&&b):: C = 0 +7252 ns P2(a&&b):: C = 1 +7254 ns P1(a||b):: C = 1 +7254 ns P2(a||b):: C = 0 +7258 ns P1(a&&b):: C = 0 +7258 ns P2(a&&b):: C = 1 +7260 ns P1(a||b):: C = 1 +7260 ns P2(a||b):: C = 0 +7264 ns P1(a&&b):: C = 0 +7264 ns P2(a&&b):: C = 1 +7266 ns P1(a||b):: C = 1 +7266 ns P2(a||b):: C = 0 +7270 ns P1(a&&b):: C = 0 +7270 ns P2(a&&b):: C = 1 +7272 ns P1(a||b):: C = 1 +7272 ns P2(a||b):: C = 0 +7276 ns P1(a&&b):: C = 0 +7276 ns P2(a&&b):: C = 1 +7278 ns P1(a||b):: C = 1 +7278 ns P2(a||b):: C = 0 +7282 ns P1(a&&b):: C = 0 +7282 ns P2(a&&b):: C = 1 +7284 ns P1(a||b):: C = 1 +7284 ns P2(a||b):: C = 0 +7288 ns P1(a&&b):: C = 0 +7288 ns P2(a&&b):: C = 1 +7290 ns P1(a||b):: C = 0 +7290 ns P2(a||b):: C = 1 +7294 ns P1(a&&b):: C = 0 +7294 ns P2(a&&b):: C = 1 +7296 ns P1(a||b):: C = 1 +7296 ns P2(a||b):: C = 0 +7300 ns P1(a&&b):: C = 0 +7300 ns P2(a&&b):: C = 1 +7302 ns P1(a||b):: C = 1 +7302 ns P2(a||b):: C = 0 +7306 ns P1(a&&b):: C = 0 +7306 ns P2(a&&b):: C = 1 +7308 ns P1(a||b):: C = 0 +7308 ns P2(a||b):: C = 1 +7312 ns P1(a&&b):: C = 0 +7312 ns P2(a&&b):: C = 1 +7314 ns P1(a||b):: C = 1 +7314 ns P2(a||b):: C = 0 +7318 ns P1(a&&b):: C = 0 +7318 ns P2(a&&b):: C = 1 +7320 ns P1(a||b):: C = 1 +7320 ns P2(a||b):: C = 0 +7324 ns P1(a&&b):: C = 0 +7324 ns P2(a&&b):: C = 1 +7326 ns P1(a||b):: C = 0 +7326 ns P2(a||b):: C = 1 +7330 ns P1(a&&b):: C = 0 +7330 ns P2(a&&b):: C = 1 +7332 ns P1(a||b):: C = 0 +7332 ns P2(a||b):: C = 1 +7336 ns P1(a&&b):: C = 0 +7336 ns P2(a&&b):: C = 1 +7338 ns P1(a||b):: C = 1 +7338 ns P2(a||b):: C = 0 +7342 ns P1(a&&b):: C = 0 +7342 ns P2(a&&b):: C = 1 +7344 ns P1(a||b):: C = 1 +7344 ns P2(a||b):: C = 0 +7348 ns P1(a&&b):: C = 1 +7348 ns P2(a&&b):: C = 0 +7350 ns P1(a||b):: C = 1 +7350 ns P2(a||b):: C = 0 +7354 ns P1(a&&b):: C = 0 +7354 ns P2(a&&b):: C = 1 +7356 ns P1(a||b):: C = 1 +7356 ns P2(a||b):: C = 0 +7360 ns P1(a&&b):: C = 0 +7360 ns P2(a&&b):: C = 1 +7362 ns P1(a||b):: C = 1 +7362 ns P2(a||b):: C = 0 +7366 ns P1(a&&b):: C = 0 +7366 ns P2(a&&b):: C = 1 +7368 ns P1(a||b):: C = 1 +7368 ns P2(a||b):: C = 0 +7372 ns P1(a&&b):: C = 0 +7372 ns P2(a&&b):: C = 1 +7374 ns P1(a||b):: C = 0 +7374 ns P2(a||b):: C = 1 +7378 ns P1(a&&b):: C = 0 +7378 ns P2(a&&b):: C = 1 +7380 ns P1(a||b):: C = 1 +7380 ns P2(a||b):: C = 0 +7384 ns P1(a&&b):: C = 0 +7384 ns P2(a&&b):: C = 1 +7386 ns P1(a||b):: C = 1 +7386 ns P2(a||b):: C = 0 +7390 ns P1(a&&b):: C = 0 +7390 ns P2(a&&b):: C = 1 +7392 ns P1(a||b):: C = 0 +7392 ns P2(a||b):: C = 1 +7396 ns P1(a&&b):: C = 1 +7396 ns P2(a&&b):: C = 0 +7398 ns P1(a||b):: C = 1 +7398 ns P2(a||b):: C = 0 +7402 ns P1(a&&b):: C = 1 +7402 ns P2(a&&b):: C = 0 +7404 ns P1(a||b):: C = 1 +7404 ns P2(a||b):: C = 0 +7408 ns P1(a&&b):: C = 0 +7408 ns P2(a&&b):: C = 1 +7410 ns P1(a||b):: C = 1 +7410 ns P2(a||b):: C = 0 +7414 ns P1(a&&b):: C = 0 +7414 ns P2(a&&b):: C = 1 +7416 ns P1(a||b):: C = 0 +7416 ns P2(a||b):: C = 1 +7420 ns P1(a&&b):: C = 0 +7420 ns P2(a&&b):: C = 1 +7422 ns P1(a||b):: C = 0 +7422 ns P2(a||b):: C = 1 +7426 ns P1(a&&b):: C = 0 +7426 ns P2(a&&b):: C = 1 +7428 ns P1(a||b):: C = 1 +7428 ns P2(a||b):: C = 0 +7432 ns P1(a&&b):: C = 0 +7432 ns P2(a&&b):: C = 1 +7434 ns P1(a||b):: C = 1 +7434 ns P2(a||b):: C = 0 +7438 ns P1(a&&b):: C = 1 +7438 ns P2(a&&b):: C = 0 +7440 ns P1(a||b):: C = 1 +7440 ns P2(a||b):: C = 0 +7444 ns P1(a&&b):: C = 0 +7444 ns P2(a&&b):: C = 1 +7446 ns P1(a||b):: C = 1 +7446 ns P2(a||b):: C = 0 +7450 ns P1(a&&b):: C = 0 +7450 ns P2(a&&b):: C = 1 +7452 ns P1(a||b):: C = 1 +7452 ns P2(a||b):: C = 0 +7456 ns P1(a&&b):: C = 1 +7456 ns P2(a&&b):: C = 0 +7458 ns P1(a||b):: C = 1 +7458 ns P2(a||b):: C = 0 +7462 ns P1(a&&b):: C = 0 +7462 ns P2(a&&b):: C = 1 +7464 ns P1(a||b):: C = 1 +7464 ns P2(a||b):: C = 0 +7468 ns P1(a&&b):: C = 1 +7468 ns P2(a&&b):: C = 0 +7470 ns P1(a||b):: C = 1 +7470 ns P2(a||b):: C = 0 +7474 ns P1(a&&b):: C = 0 +7474 ns P2(a&&b):: C = 1 +7476 ns P1(a||b):: C = 0 +7476 ns P2(a||b):: C = 1 +7480 ns P1(a&&b):: C = 1 +7480 ns P2(a&&b):: C = 0 +7482 ns P1(a||b):: C = 0 +7482 ns P2(a||b):: C = 1 +7486 ns P1(a&&b):: C = 0 +7486 ns P2(a&&b):: C = 1 +7488 ns P1(a||b):: C = 0 +7488 ns P2(a||b):: C = 1 +7492 ns P1(a&&b):: C = 0 +7492 ns P2(a&&b):: C = 1 +7494 ns P1(a||b):: C = 0 +7494 ns P2(a||b):: C = 1 +7498 ns P1(a&&b):: C = 0 +7498 ns P2(a&&b):: C = 1 +7500 ns P1(a||b):: C = 1 +7500 ns P2(a||b):: C = 0 +7504 ns P1(a&&b):: C = 1 +7504 ns P2(a&&b):: C = 0 +7506 ns P1(a||b):: C = 1 +7506 ns P2(a||b):: C = 0 +7510 ns P1(a&&b):: C = 0 +7510 ns P2(a&&b):: C = 1 +7512 ns P1(a||b):: C = 1 +7512 ns P2(a||b):: C = 0 +7516 ns P1(a&&b):: C = 0 +7516 ns P2(a&&b):: C = 1 +7518 ns P1(a||b):: C = 1 +7518 ns P2(a||b):: C = 0 +7522 ns P1(a&&b):: C = 0 +7522 ns P2(a&&b):: C = 1 +7524 ns P1(a||b):: C = 1 +7524 ns P2(a||b):: C = 0 +7528 ns P1(a&&b):: C = 0 +7528 ns P2(a&&b):: C = 1 +7530 ns P1(a||b):: C = 1 +7530 ns P2(a||b):: C = 0 +7534 ns P1(a&&b):: C = 1 +7534 ns P2(a&&b):: C = 0 +7536 ns P1(a||b):: C = 1 +7536 ns P2(a||b):: C = 0 +7540 ns P1(a&&b):: C = 0 +7540 ns P2(a&&b):: C = 1 +7542 ns P1(a||b):: C = 1 +7542 ns P2(a||b):: C = 0 +7546 ns P1(a&&b):: C = 0 +7546 ns P2(a&&b):: C = 1 +7548 ns P1(a||b):: C = 1 +7548 ns P2(a||b):: C = 0 +7552 ns P1(a&&b):: C = 1 +7552 ns P2(a&&b):: C = 0 +7554 ns P1(a||b):: C = 1 +7554 ns P2(a||b):: C = 0 +7558 ns P1(a&&b):: C = 0 +7558 ns P2(a&&b):: C = 1 +7560 ns P1(a||b):: C = 1 +7560 ns P2(a||b):: C = 0 +7564 ns P1(a&&b):: C = 0 +7564 ns P2(a&&b):: C = 1 +7566 ns P1(a||b):: C = 1 +7566 ns P2(a||b):: C = 0 +7570 ns P1(a&&b):: C = 0 +7570 ns P2(a&&b):: C = 1 +7572 ns P1(a||b):: C = 1 +7572 ns P2(a||b):: C = 0 +7576 ns P1(a&&b):: C = 1 +7576 ns P2(a&&b):: C = 0 +7578 ns P1(a||b):: C = 1 +7578 ns P2(a||b):: C = 0 +7582 ns P1(a&&b):: C = 0 +7582 ns P2(a&&b):: C = 1 +7584 ns P1(a||b):: C = 1 +7584 ns P2(a||b):: C = 0 +7588 ns P1(a&&b):: C = 1 +7588 ns P2(a&&b):: C = 0 +7590 ns P1(a||b):: C = 1 +7590 ns P2(a||b):: C = 0 +7594 ns P1(a&&b):: C = 1 +7594 ns P2(a&&b):: C = 0 +7596 ns P1(a||b):: C = 1 +7596 ns P2(a||b):: C = 0 +7600 ns P1(a&&b):: C = 0 +7600 ns P2(a&&b):: C = 1 +7602 ns P1(a||b):: C = 1 +7602 ns P2(a||b):: C = 0 +7606 ns P1(a&&b):: C = 1 +7606 ns P2(a&&b):: C = 0 +7608 ns P1(a||b):: C = 1 +7608 ns P2(a||b):: C = 0 +7612 ns P1(a&&b):: C = 0 +7612 ns P2(a&&b):: C = 1 +7614 ns P1(a||b):: C = 1 +7614 ns P2(a||b):: C = 0 +7618 ns P1(a&&b):: C = 0 +7618 ns P2(a&&b):: C = 1 +7620 ns P1(a||b):: C = 0 +7620 ns P2(a||b):: C = 1 +7624 ns P1(a&&b):: C = 0 +7624 ns P2(a&&b):: C = 1 +7626 ns P1(a||b):: C = 0 +7626 ns P2(a||b):: C = 1 +7630 ns P1(a&&b):: C = 0 +7630 ns P2(a&&b):: C = 1 +7632 ns P1(a||b):: C = 1 +7632 ns P2(a||b):: C = 0 +7636 ns P1(a&&b):: C = 1 +7636 ns P2(a&&b):: C = 0 +7638 ns P1(a||b):: C = 0 +7638 ns P2(a||b):: C = 1 +7642 ns P1(a&&b):: C = 0 +7642 ns P2(a&&b):: C = 1 +7644 ns P1(a||b):: C = 1 +7644 ns P2(a||b):: C = 0 +7648 ns P1(a&&b):: C = 1 +7648 ns P2(a&&b):: C = 0 +7650 ns P1(a||b):: C = 1 +7650 ns P2(a||b):: C = 0 +7654 ns P1(a&&b):: C = 0 +7654 ns P2(a&&b):: C = 1 +7656 ns P1(a||b):: C = 1 +7656 ns P2(a||b):: C = 0 +7660 ns P1(a&&b):: C = 1 +7660 ns P2(a&&b):: C = 0 +7662 ns P1(a||b):: C = 1 +7662 ns P2(a||b):: C = 0 +7666 ns P1(a&&b):: C = 0 +7666 ns P2(a&&b):: C = 1 +7668 ns P1(a||b):: C = 0 +7668 ns P2(a||b):: C = 1 +7672 ns P1(a&&b):: C = 1 +7672 ns P2(a&&b):: C = 0 +7674 ns P1(a||b):: C = 1 +7674 ns P2(a||b):: C = 0 +7678 ns P1(a&&b):: C = 0 +7678 ns P2(a&&b):: C = 1 +7680 ns P1(a||b):: C = 1 +7680 ns P2(a||b):: C = 0 +7684 ns P1(a&&b):: C = 0 +7684 ns P2(a&&b):: C = 1 +7686 ns P1(a||b):: C = 1 +7686 ns P2(a||b):: C = 0 +7690 ns P1(a&&b):: C = 0 +7690 ns P2(a&&b):: C = 1 +7692 ns P1(a||b):: C = 1 +7692 ns P2(a||b):: C = 0 +7696 ns P1(a&&b):: C = 0 +7696 ns P2(a&&b):: C = 1 +7698 ns P1(a||b):: C = 1 +7698 ns P2(a||b):: C = 0 +7702 ns P1(a&&b):: C = 0 +7702 ns P2(a&&b):: C = 1 +7704 ns P1(a||b):: C = 1 +7704 ns P2(a||b):: C = 0 +7708 ns P1(a&&b):: C = 1 +7708 ns P2(a&&b):: C = 0 +7710 ns P1(a||b):: C = 1 +7710 ns P2(a||b):: C = 0 +7714 ns P1(a&&b):: C = 0 +7714 ns P2(a&&b):: C = 1 +7716 ns P1(a||b):: C = 0 +7716 ns P2(a||b):: C = 1 +7720 ns P1(a&&b):: C = 0 +7720 ns P2(a&&b):: C = 1 +7722 ns P1(a||b):: C = 0 +7722 ns P2(a||b):: C = 1 +7726 ns P1(a&&b):: C = 0 +7726 ns P2(a&&b):: C = 1 +7728 ns P1(a||b):: C = 1 +7728 ns P2(a||b):: C = 0 +7732 ns P1(a&&b):: C = 0 +7732 ns P2(a&&b):: C = 1 +7734 ns P1(a||b):: C = 0 +7734 ns P2(a||b):: C = 1 +7738 ns P1(a&&b):: C = 0 +7738 ns P2(a&&b):: C = 1 +7740 ns P1(a||b):: C = 1 +7740 ns P2(a||b):: C = 0 +7744 ns P1(a&&b):: C = 0 +7744 ns P2(a&&b):: C = 1 +7746 ns P1(a||b):: C = 0 +7746 ns P2(a||b):: C = 1 +7750 ns P1(a&&b):: C = 1 +7750 ns P2(a&&b):: C = 0 +7752 ns P1(a||b):: C = 1 +7752 ns P2(a||b):: C = 0 +7756 ns P1(a&&b):: C = 1 +7756 ns P2(a&&b):: C = 0 +7758 ns P1(a||b):: C = 1 +7758 ns P2(a||b):: C = 0 +7762 ns P1(a&&b):: C = 0 +7762 ns P2(a&&b):: C = 1 +7764 ns P1(a||b):: C = 1 +7764 ns P2(a||b):: C = 0 +7768 ns P1(a&&b):: C = 0 +7768 ns P2(a&&b):: C = 1 +7770 ns P1(a||b):: C = 1 +7770 ns P2(a||b):: C = 0 +7774 ns P1(a&&b):: C = 1 +7774 ns P2(a&&b):: C = 0 +7776 ns P1(a||b):: C = 1 +7776 ns P2(a||b):: C = 0 +7780 ns P1(a&&b):: C = 0 +7780 ns P2(a&&b):: C = 1 +7782 ns P1(a||b):: C = 1 +7782 ns P2(a||b):: C = 0 +7786 ns P1(a&&b):: C = 0 +7786 ns P2(a&&b):: C = 1 +7788 ns P1(a||b):: C = 1 +7788 ns P2(a||b):: C = 0 +7792 ns P1(a&&b):: C = 0 +7792 ns P2(a&&b):: C = 1 +7794 ns P1(a||b):: C = 1 +7794 ns P2(a||b):: C = 0 +7798 ns P1(a&&b):: C = 0 +7798 ns P2(a&&b):: C = 1 +7800 ns P1(a||b):: C = 1 +7800 ns P2(a||b):: C = 0 +7804 ns P1(a&&b):: C = 0 +7804 ns P2(a&&b):: C = 1 +7806 ns P1(a||b):: C = 0 +7806 ns P2(a||b):: C = 1 +7810 ns P1(a&&b):: C = 0 +7810 ns P2(a&&b):: C = 1 +7812 ns P1(a||b):: C = 0 +7812 ns P2(a||b):: C = 1 +7816 ns P1(a&&b):: C = 0 +7816 ns P2(a&&b):: C = 1 +7818 ns P1(a||b):: C = 0 +7818 ns P2(a||b):: C = 1 +7822 ns P1(a&&b):: C = 1 +7822 ns P2(a&&b):: C = 0 +7824 ns P1(a||b):: C = 0 +7824 ns P2(a||b):: C = 1 +7828 ns P1(a&&b):: C = 0 +7828 ns P2(a&&b):: C = 1 +7830 ns P1(a||b):: C = 0 +7830 ns P2(a||b):: C = 1 +7834 ns P1(a&&b):: C = 0 +7834 ns P2(a&&b):: C = 1 +7836 ns P1(a||b):: C = 0 +7836 ns P2(a||b):: C = 1 +7840 ns P1(a&&b):: C = 0 +7840 ns P2(a&&b):: C = 1 +7842 ns P1(a||b):: C = 1 +7842 ns P2(a||b):: C = 0 +7846 ns P1(a&&b):: C = 1 +7846 ns P2(a&&b):: C = 0 +7848 ns P1(a||b):: C = 1 +7848 ns P2(a||b):: C = 0 +7852 ns P1(a&&b):: C = 0 +7852 ns P2(a&&b):: C = 1 +7854 ns P1(a||b):: C = 1 +7854 ns P2(a||b):: C = 0 +7858 ns P1(a&&b):: C = 0 +7858 ns P2(a&&b):: C = 1 +7860 ns P1(a||b):: C = 1 +7860 ns P2(a||b):: C = 0 +7864 ns P1(a&&b):: C = 0 +7864 ns P2(a&&b):: C = 1 +7866 ns P1(a||b):: C = 1 +7866 ns P2(a||b):: C = 0 +7870 ns P1(a&&b):: C = 0 +7870 ns P2(a&&b):: C = 1 +7872 ns P1(a||b):: C = 0 +7872 ns P2(a||b):: C = 1 +7876 ns P1(a&&b):: C = 0 +7876 ns P2(a&&b):: C = 1 +7878 ns P1(a||b):: C = 1 +7878 ns P2(a||b):: C = 0 +7882 ns P1(a&&b):: C = 0 +7882 ns P2(a&&b):: C = 1 +7884 ns P1(a||b):: C = 1 +7884 ns P2(a||b):: C = 0 +7888 ns P1(a&&b):: C = 0 +7888 ns P2(a&&b):: C = 1 +7890 ns P1(a||b):: C = 0 +7890 ns P2(a||b):: C = 1 +7894 ns P1(a&&b):: C = 0 +7894 ns P2(a&&b):: C = 1 +7896 ns P1(a||b):: C = 1 +7896 ns P2(a||b):: C = 0 +7900 ns P1(a&&b):: C = 0 +7900 ns P2(a&&b):: C = 1 +7902 ns P1(a||b):: C = 1 +7902 ns P2(a||b):: C = 0 +7906 ns P1(a&&b):: C = 0 +7906 ns P2(a&&b):: C = 1 +7908 ns P1(a||b):: C = 1 +7908 ns P2(a||b):: C = 0 +7912 ns P1(a&&b):: C = 0 +7912 ns P2(a&&b):: C = 1 +7914 ns P1(a||b):: C = 1 +7914 ns P2(a||b):: C = 0 +7918 ns P1(a&&b):: C = 1 +7918 ns P2(a&&b):: C = 0 +7920 ns P1(a||b):: C = 1 +7920 ns P2(a||b):: C = 0 +7924 ns P1(a&&b):: C = 1 +7924 ns P2(a&&b):: C = 0 +7926 ns P1(a||b):: C = 0 +7926 ns P2(a||b):: C = 1 +7930 ns P1(a&&b):: C = 0 +7930 ns P2(a&&b):: C = 1 +7932 ns P1(a||b):: C = 0 +7932 ns P2(a||b):: C = 1 +7936 ns P1(a&&b):: C = 1 +7936 ns P2(a&&b):: C = 0 +7938 ns P1(a||b):: C = 1 +7938 ns P2(a||b):: C = 0 +7942 ns P1(a&&b):: C = 0 +7942 ns P2(a&&b):: C = 1 +7944 ns P1(a||b):: C = 1 +7944 ns P2(a||b):: C = 0 +7948 ns P1(a&&b):: C = 1 +7948 ns P2(a&&b):: C = 0 +7950 ns P1(a||b):: C = 1 +7950 ns P2(a||b):: C = 0 +7954 ns P1(a&&b):: C = 0 +7954 ns P2(a&&b):: C = 1 +7956 ns P1(a||b):: C = 1 +7956 ns P2(a||b):: C = 0 +7960 ns P1(a&&b):: C = 0 +7960 ns P2(a&&b):: C = 1 +7962 ns P1(a||b):: C = 1 +7962 ns P2(a||b):: C = 0 +7966 ns P1(a&&b):: C = 0 +7966 ns P2(a&&b):: C = 1 +7968 ns P1(a||b):: C = 1 +7968 ns P2(a||b):: C = 0 +7972 ns P1(a&&b):: C = 1 +7972 ns P2(a&&b):: C = 0 +7974 ns P1(a||b):: C = 0 +7974 ns P2(a||b):: C = 1 +7978 ns P1(a&&b):: C = 0 +7978 ns P2(a&&b):: C = 1 +7980 ns P1(a||b):: C = 1 +7980 ns P2(a||b):: C = 0 +7984 ns P1(a&&b):: C = 0 +7984 ns P2(a&&b):: C = 1 +7986 ns P1(a||b):: C = 1 +7986 ns P2(a||b):: C = 0 +7990 ns P1(a&&b):: C = 0 +7990 ns P2(a&&b):: C = 1 +7992 ns P1(a||b):: C = 1 +7992 ns P2(a||b):: C = 0 +7996 ns P1(a&&b):: C = 0 +7996 ns P2(a&&b):: C = 1 +7998 ns P1(a||b):: C = 1 +7998 ns P2(a||b):: C = 0 +8002 ns P1(a&&b):: C = 0 +8002 ns P2(a&&b):: C = 1 +8004 ns P1(a||b):: C = 0 +8004 ns P2(a||b):: C = 1 +8008 ns P1(a&&b):: C = 0 +8008 ns P2(a&&b):: C = 1 +8010 ns P1(a||b):: C = 1 +8010 ns P2(a||b):: C = 0 +8014 ns P1(a&&b):: C = 0 +8014 ns P2(a&&b):: C = 1 +8016 ns P1(a||b):: C = 0 +8016 ns P2(a||b):: C = 1 +8020 ns P1(a&&b):: C = 1 +8020 ns P2(a&&b):: C = 0 +8022 ns P1(a||b):: C = 1 +8022 ns P2(a||b):: C = 0 +8026 ns P1(a&&b):: C = 1 +8026 ns P2(a&&b):: C = 0 +8028 ns P1(a||b):: C = 1 +8028 ns P2(a||b):: C = 0 +8032 ns P1(a&&b):: C = 0 +8032 ns P2(a&&b):: C = 1 +8034 ns P1(a||b):: C = 1 +8034 ns P2(a||b):: C = 0 +8038 ns P1(a&&b):: C = 1 +8038 ns P2(a&&b):: C = 0 +8040 ns P1(a||b):: C = 1 +8040 ns P2(a||b):: C = 0 +8044 ns P1(a&&b):: C = 0 +8044 ns P2(a&&b):: C = 1 +8046 ns P1(a||b):: C = 1 +8046 ns P2(a||b):: C = 0 +8050 ns P1(a&&b):: C = 0 +8050 ns P2(a&&b):: C = 1 +8052 ns P1(a||b):: C = 0 +8052 ns P2(a||b):: C = 1 +8056 ns P1(a&&b):: C = 0 +8056 ns P2(a&&b):: C = 1 +8058 ns P1(a||b):: C = 0 +8058 ns P2(a||b):: C = 1 +8062 ns P1(a&&b):: C = 1 +8062 ns P2(a&&b):: C = 0 +8064 ns P1(a||b):: C = 1 +8064 ns P2(a||b):: C = 0 +8068 ns P1(a&&b):: C = 0 +8068 ns P2(a&&b):: C = 1 +8070 ns P1(a||b):: C = 0 +8070 ns P2(a||b):: C = 1 +8074 ns P1(a&&b):: C = 0 +8074 ns P2(a&&b):: C = 1 +8076 ns P1(a||b):: C = 1 +8076 ns P2(a||b):: C = 0 +8080 ns P1(a&&b):: C = 0 +8080 ns P2(a&&b):: C = 1 +8082 ns P1(a||b):: C = 1 +8082 ns P2(a||b):: C = 0 +8086 ns P1(a&&b):: C = 0 +8086 ns P2(a&&b):: C = 1 +8088 ns P1(a||b):: C = 1 +8088 ns P2(a||b):: C = 0 +8092 ns P1(a&&b):: C = 0 +8092 ns P2(a&&b):: C = 1 +8094 ns P1(a||b):: C = 0 +8094 ns P2(a||b):: C = 1 +8098 ns P1(a&&b):: C = 0 +8098 ns P2(a&&b):: C = 1 +8100 ns P1(a||b):: C = 1 +8100 ns P2(a||b):: C = 0 +8104 ns P1(a&&b):: C = 0 +8104 ns P2(a&&b):: C = 1 +8106 ns P1(a||b):: C = 1 +8106 ns P2(a||b):: C = 0 +8110 ns P1(a&&b):: C = 0 +8110 ns P2(a&&b):: C = 1 +8112 ns P1(a||b):: C = 1 +8112 ns P2(a||b):: C = 0 +8116 ns P1(a&&b):: C = 0 +8116 ns P2(a&&b):: C = 1 +8118 ns P1(a||b):: C = 1 +8118 ns P2(a||b):: C = 0 +8122 ns P1(a&&b):: C = 0 +8122 ns P2(a&&b):: C = 1 +8124 ns P1(a||b):: C = 1 +8124 ns P2(a||b):: C = 0 +8128 ns P1(a&&b):: C = 0 +8128 ns P2(a&&b):: C = 1 +8130 ns P1(a||b):: C = 1 +8130 ns P2(a||b):: C = 0 +8134 ns P1(a&&b):: C = 0 +8134 ns P2(a&&b):: C = 1 +8136 ns P1(a||b):: C = 0 +8136 ns P2(a||b):: C = 1 +8140 ns P1(a&&b):: C = 0 +8140 ns P2(a&&b):: C = 1 +8142 ns P1(a||b):: C = 1 +8142 ns P2(a||b):: C = 0 +8146 ns P1(a&&b):: C = 0 +8146 ns P2(a&&b):: C = 1 +8148 ns P1(a||b):: C = 1 +8148 ns P2(a||b):: C = 0 +8152 ns P1(a&&b):: C = 0 +8152 ns P2(a&&b):: C = 1 +8154 ns P1(a||b):: C = 1 +8154 ns P2(a||b):: C = 0 +8158 ns P1(a&&b):: C = 0 +8158 ns P2(a&&b):: C = 1 +8160 ns P1(a||b):: C = 1 +8160 ns P2(a||b):: C = 0 +8164 ns P1(a&&b):: C = 0 +8164 ns P2(a&&b):: C = 1 +8166 ns P1(a||b):: C = 0 +8166 ns P2(a||b):: C = 1 +8170 ns P1(a&&b):: C = 1 +8170 ns P2(a&&b):: C = 0 +8172 ns P1(a||b):: C = 1 +8172 ns P2(a||b):: C = 0 +8176 ns P1(a&&b):: C = 0 +8176 ns P2(a&&b):: C = 1 +8178 ns P1(a||b):: C = 0 +8178 ns P2(a||b):: C = 1 +8182 ns P1(a&&b):: C = 1 +8182 ns P2(a&&b):: C = 0 +8184 ns P1(a||b):: C = 1 +8184 ns P2(a||b):: C = 0 +8188 ns P1(a&&b):: C = 0 +8188 ns P2(a&&b):: C = 1 +8190 ns P1(a||b):: C = 0 +8190 ns P2(a||b):: C = 1 +8194 ns P1(a&&b):: C = 0 +8194 ns P2(a&&b):: C = 1 +8196 ns P1(a||b):: C = 1 +8196 ns P2(a||b):: C = 0 +8200 ns P1(a&&b):: C = 0 +8200 ns P2(a&&b):: C = 1 +8202 ns P1(a||b):: C = 1 +8202 ns P2(a||b):: C = 0 +8206 ns P1(a&&b):: C = 0 +8206 ns P2(a&&b):: C = 1 +8208 ns P1(a||b):: C = 0 +8208 ns P2(a||b):: C = 1 +8212 ns P1(a&&b):: C = 0 +8212 ns P2(a&&b):: C = 1 +8214 ns P1(a||b):: C = 0 +8214 ns P2(a||b):: C = 1 +8218 ns P1(a&&b):: C = 0 +8218 ns P2(a&&b):: C = 1 +8220 ns P1(a||b):: C = 1 +8220 ns P2(a||b):: C = 0 +8224 ns P1(a&&b):: C = 0 +8224 ns P2(a&&b):: C = 1 +8226 ns P1(a||b):: C = 1 +8226 ns P2(a||b):: C = 0 +8230 ns P1(a&&b):: C = 0 +8230 ns P2(a&&b):: C = 1 +8232 ns P1(a||b):: C = 1 +8232 ns P2(a||b):: C = 0 +8236 ns P1(a&&b):: C = 0 +8236 ns P2(a&&b):: C = 1 +8238 ns P1(a||b):: C = 1 +8238 ns P2(a||b):: C = 0 +8242 ns P1(a&&b):: C = 0 +8242 ns P2(a&&b):: C = 1 +8244 ns P1(a||b):: C = 1 +8244 ns P2(a||b):: C = 0 +8248 ns P1(a&&b):: C = 0 +8248 ns P2(a&&b):: C = 1 +8250 ns P1(a||b):: C = 1 +8250 ns P2(a||b):: C = 0 +8254 ns P1(a&&b):: C = 0 +8254 ns P2(a&&b):: C = 1 +8256 ns P1(a||b):: C = 0 +8256 ns P2(a||b):: C = 1 +8260 ns P1(a&&b):: C = 1 +8260 ns P2(a&&b):: C = 0 +8262 ns P1(a||b):: C = 0 +8262 ns P2(a||b):: C = 1 +8266 ns P1(a&&b):: C = 0 +8266 ns P2(a&&b):: C = 1 +8268 ns P1(a||b):: C = 0 +8268 ns P2(a||b):: C = 1 +8272 ns P1(a&&b):: C = 0 +8272 ns P2(a&&b):: C = 1 +8274 ns P1(a||b):: C = 1 +8274 ns P2(a||b):: C = 0 +8278 ns P1(a&&b):: C = 0 +8278 ns P2(a&&b):: C = 1 +8280 ns P1(a||b):: C = 0 +8280 ns P2(a||b):: C = 1 +8284 ns P1(a&&b):: C = 0 +8284 ns P2(a&&b):: C = 1 +8286 ns P1(a||b):: C = 1 +8286 ns P2(a||b):: C = 0 +8290 ns P1(a&&b):: C = 0 +8290 ns P2(a&&b):: C = 1 +8292 ns P1(a||b):: C = 1 +8292 ns P2(a||b):: C = 0 +8296 ns P1(a&&b):: C = 0 +8296 ns P2(a&&b):: C = 1 +8298 ns P1(a||b):: C = 1 +8298 ns P2(a||b):: C = 0 +8302 ns P1(a&&b):: C = 1 +8302 ns P2(a&&b):: C = 0 +8304 ns P1(a||b):: C = 1 +8304 ns P2(a||b):: C = 0 +8308 ns P1(a&&b):: C = 0 +8308 ns P2(a&&b):: C = 1 +8310 ns P1(a||b):: C = 1 +8310 ns P2(a||b):: C = 0 +8314 ns P1(a&&b):: C = 0 +8314 ns P2(a&&b):: C = 1 +8316 ns P1(a||b):: C = 1 +8316 ns P2(a||b):: C = 0 +8320 ns P1(a&&b):: C = 0 +8320 ns P2(a&&b):: C = 1 +8322 ns P1(a||b):: C = 1 +8322 ns P2(a||b):: C = 0 +8326 ns P1(a&&b):: C = 1 +8326 ns P2(a&&b):: C = 0 +8328 ns P1(a||b):: C = 0 +8328 ns P2(a||b):: C = 1 +8332 ns P1(a&&b):: C = 1 +8332 ns P2(a&&b):: C = 0 +8334 ns P1(a||b):: C = 1 +8334 ns P2(a||b):: C = 0 +8338 ns P1(a&&b):: C = 0 +8338 ns P2(a&&b):: C = 1 +8340 ns P1(a||b):: C = 1 +8340 ns P2(a||b):: C = 0 +8344 ns P1(a&&b):: C = 1 +8344 ns P2(a&&b):: C = 0 +8346 ns P1(a||b):: C = 1 +8346 ns P2(a||b):: C = 0 +8350 ns P1(a&&b):: C = 1 +8350 ns P2(a&&b):: C = 0 +8352 ns P1(a||b):: C = 1 +8352 ns P2(a||b):: C = 0 +8356 ns P1(a&&b):: C = 0 +8356 ns P2(a&&b):: C = 1 +8358 ns P1(a||b):: C = 1 +8358 ns P2(a||b):: C = 0 +8362 ns P1(a&&b):: C = 0 +8362 ns P2(a&&b):: C = 1 +8364 ns P1(a||b):: C = 0 +8364 ns P2(a||b):: C = 1 +8368 ns P1(a&&b):: C = 0 +8368 ns P2(a&&b):: C = 1 +8370 ns P1(a||b):: C = 0 +8370 ns P2(a||b):: C = 1 +8374 ns P1(a&&b):: C = 0 +8374 ns P2(a&&b):: C = 1 +8376 ns P1(a||b):: C = 1 +8376 ns P2(a||b):: C = 0 +8380 ns P1(a&&b):: C = 0 +8380 ns P2(a&&b):: C = 1 +8382 ns P1(a||b):: C = 1 +8382 ns P2(a||b):: C = 0 +8386 ns P1(a&&b):: C = 0 +8386 ns P2(a&&b):: C = 1 +8388 ns P1(a||b):: C = 1 +8388 ns P2(a||b):: C = 0 +8392 ns P1(a&&b):: C = 1 +8392 ns P2(a&&b):: C = 0 +8394 ns P1(a||b):: C = 0 +8394 ns P2(a||b):: C = 1 +8398 ns P1(a&&b):: C = 0 +8398 ns P2(a&&b):: C = 1 +8400 ns P1(a||b):: C = 1 +8400 ns P2(a||b):: C = 0 +8404 ns P1(a&&b):: C = 0 +8404 ns P2(a&&b):: C = 1 +8406 ns P1(a||b):: C = 1 +8406 ns P2(a||b):: C = 0 +8410 ns P1(a&&b):: C = 0 +8410 ns P2(a&&b):: C = 1 +8412 ns P1(a||b):: C = 1 +8412 ns P2(a||b):: C = 0 +8416 ns P1(a&&b):: C = 0 +8416 ns P2(a&&b):: C = 1 +8418 ns P1(a||b):: C = 1 +8418 ns P2(a||b):: C = 0 +8422 ns P1(a&&b):: C = 0 +8422 ns P2(a&&b):: C = 1 +8424 ns P1(a||b):: C = 1 +8424 ns P2(a||b):: C = 0 +8428 ns P1(a&&b):: C = 0 +8428 ns P2(a&&b):: C = 1 +8430 ns P1(a||b):: C = 0 +8430 ns P2(a||b):: C = 1 +8434 ns P1(a&&b):: C = 0 +8434 ns P2(a&&b):: C = 1 +8436 ns P1(a||b):: C = 0 +8436 ns P2(a||b):: C = 1 +8440 ns P1(a&&b):: C = 0 +8440 ns P2(a&&b):: C = 1 +8442 ns P1(a||b):: C = 1 +8442 ns P2(a||b):: C = 0 +8446 ns P1(a&&b):: C = 0 +8446 ns P2(a&&b):: C = 1 +8448 ns P1(a||b):: C = 1 +8448 ns P2(a||b):: C = 0 +8452 ns P1(a&&b):: C = 0 +8452 ns P2(a&&b):: C = 1 +8454 ns P1(a||b):: C = 1 +8454 ns P2(a||b):: C = 0 +8458 ns P1(a&&b):: C = 1 +8458 ns P2(a&&b):: C = 0 +8460 ns P1(a||b):: C = 1 +8460 ns P2(a||b):: C = 0 +8464 ns P1(a&&b):: C = 0 +8464 ns P2(a&&b):: C = 1 +8466 ns P1(a||b):: C = 1 +8466 ns P2(a||b):: C = 0 +8470 ns P1(a&&b):: C = 0 +8470 ns P2(a&&b):: C = 1 +8472 ns P1(a||b):: C = 1 +8472 ns P2(a||b):: C = 0 +8476 ns P1(a&&b):: C = 0 +8476 ns P2(a&&b):: C = 1 +8478 ns P1(a||b):: C = 1 +8478 ns P2(a||b):: C = 0 +8482 ns P1(a&&b):: C = 0 +8482 ns P2(a&&b):: C = 1 +8484 ns P1(a||b):: C = 1 +8484 ns P2(a||b):: C = 0 +8488 ns P1(a&&b):: C = 0 +8488 ns P2(a&&b):: C = 1 +8490 ns P1(a||b):: C = 1 +8490 ns P2(a||b):: C = 0 +8494 ns P1(a&&b):: C = 0 +8494 ns P2(a&&b):: C = 1 +8496 ns P1(a||b):: C = 0 +8496 ns P2(a||b):: C = 1 +8500 ns P1(a&&b):: C = 1 +8500 ns P2(a&&b):: C = 0 +8502 ns P1(a||b):: C = 1 +8502 ns P2(a||b):: C = 0 +8506 ns P1(a&&b):: C = 0 +8506 ns P2(a&&b):: C = 1 +8508 ns P1(a||b):: C = 0 +8508 ns P2(a||b):: C = 1 +8512 ns P1(a&&b):: C = 0 +8512 ns P2(a&&b):: C = 1 +8514 ns P1(a||b):: C = 1 +8514 ns P2(a||b):: C = 0 +8518 ns P1(a&&b):: C = 0 +8518 ns P2(a&&b):: C = 1 +8520 ns P1(a||b):: C = 1 +8520 ns P2(a||b):: C = 0 +8524 ns P1(a&&b):: C = 0 +8524 ns P2(a&&b):: C = 1 +8526 ns P1(a||b):: C = 0 +8526 ns P2(a||b):: C = 1 +8530 ns P1(a&&b):: C = 0 +8530 ns P2(a&&b):: C = 1 +8532 ns P1(a||b):: C = 1 +8532 ns P2(a||b):: C = 0 +8536 ns P1(a&&b):: C = 0 +8536 ns P2(a&&b):: C = 1 +8538 ns P1(a||b):: C = 1 +8538 ns P2(a||b):: C = 0 +8542 ns P1(a&&b):: C = 0 +8542 ns P2(a&&b):: C = 1 +8544 ns P1(a||b):: C = 1 +8544 ns P2(a||b):: C = 0 +8548 ns P1(a&&b):: C = 1 +8548 ns P2(a&&b):: C = 0 +8550 ns P1(a||b):: C = 1 +8550 ns P2(a||b):: C = 0 +8554 ns P1(a&&b):: C = 1 +8554 ns P2(a&&b):: C = 0 +8556 ns P1(a||b):: C = 1 +8556 ns P2(a||b):: C = 0 +8560 ns P1(a&&b):: C = 0 +8560 ns P2(a&&b):: C = 1 +8562 ns P1(a||b):: C = 0 +8562 ns P2(a||b):: C = 1 +8566 ns P1(a&&b):: C = 0 +8566 ns P2(a&&b):: C = 1 +8568 ns P1(a||b):: C = 1 +8568 ns P2(a||b):: C = 0 +8572 ns P1(a&&b):: C = 0 +8572 ns P2(a&&b):: C = 1 +8574 ns P1(a||b):: C = 1 +8574 ns P2(a||b):: C = 0 +8578 ns P1(a&&b):: C = 0 +8578 ns P2(a&&b):: C = 1 +8580 ns P1(a||b):: C = 1 +8580 ns P2(a||b):: C = 0 +8584 ns P1(a&&b):: C = 1 +8584 ns P2(a&&b):: C = 0 +8586 ns P1(a||b):: C = 1 +8586 ns P2(a||b):: C = 0 +8590 ns P1(a&&b):: C = 1 +8590 ns P2(a&&b):: C = 0 +8592 ns P1(a||b):: C = 1 +8592 ns P2(a||b):: C = 0 +8596 ns P1(a&&b):: C = 1 +8596 ns P2(a&&b):: C = 0 +8598 ns P1(a||b):: C = 1 +8598 ns P2(a||b):: C = 0 +8602 ns P1(a&&b):: C = 0 +8602 ns P2(a&&b):: C = 1 +8604 ns P1(a||b):: C = 1 +8604 ns P2(a||b):: C = 0 +8608 ns P1(a&&b):: C = 0 +8608 ns P2(a&&b):: C = 1 +8610 ns P1(a||b):: C = 0 +8610 ns P2(a||b):: C = 1 +8614 ns P1(a&&b):: C = 0 +8614 ns P2(a&&b):: C = 1 +8616 ns P1(a||b):: C = 1 +8616 ns P2(a||b):: C = 0 +8620 ns P1(a&&b):: C = 1 +8620 ns P2(a&&b):: C = 0 +8622 ns P1(a||b):: C = 1 +8622 ns P2(a||b):: C = 0 +8626 ns P1(a&&b):: C = 0 +8626 ns P2(a&&b):: C = 1 +8628 ns P1(a||b):: C = 0 +8628 ns P2(a||b):: C = 1 +8632 ns P1(a&&b):: C = 0 +8632 ns P2(a&&b):: C = 1 +8634 ns P1(a||b):: C = 0 +8634 ns P2(a||b):: C = 1 +8638 ns P1(a&&b):: C = 0 +8638 ns P2(a&&b):: C = 1 +8640 ns P1(a||b):: C = 1 +8640 ns P2(a||b):: C = 0 +8644 ns P1(a&&b):: C = 0 +8644 ns P2(a&&b):: C = 1 +8646 ns P1(a||b):: C = 1 +8646 ns P2(a||b):: C = 0 +8650 ns P1(a&&b):: C = 0 +8650 ns P2(a&&b):: C = 1 +8652 ns P1(a||b):: C = 1 +8652 ns P2(a||b):: C = 0 +8656 ns P1(a&&b):: C = 0 +8656 ns P2(a&&b):: C = 1 +8658 ns P1(a||b):: C = 0 +8658 ns P2(a||b):: C = 1 +8662 ns P1(a&&b):: C = 0 +8662 ns P2(a&&b):: C = 1 +8664 ns P1(a||b):: C = 1 +8664 ns P2(a||b):: C = 0 +8668 ns P1(a&&b):: C = 0 +8668 ns P2(a&&b):: C = 1 +8670 ns P1(a||b):: C = 1 +8670 ns P2(a||b):: C = 0 +8674 ns P1(a&&b):: C = 0 +8674 ns P2(a&&b):: C = 1 +8676 ns P1(a||b):: C = 1 +8676 ns P2(a||b):: C = 0 +8680 ns P1(a&&b):: C = 1 +8680 ns P2(a&&b):: C = 0 +8682 ns P1(a||b):: C = 1 +8682 ns P2(a||b):: C = 0 +8686 ns P1(a&&b):: C = 0 +8686 ns P2(a&&b):: C = 1 +8688 ns P1(a||b):: C = 1 +8688 ns P2(a||b):: C = 0 +8692 ns P1(a&&b):: C = 1 +8692 ns P2(a&&b):: C = 0 +8694 ns P1(a||b):: C = 0 +8694 ns P2(a||b):: C = 1 +8698 ns P1(a&&b):: C = 0 +8698 ns P2(a&&b):: C = 1 +8700 ns P1(a||b):: C = 0 +8700 ns P2(a||b):: C = 1 +8704 ns P1(a&&b):: C = 0 +8704 ns P2(a&&b):: C = 1 +8706 ns P1(a||b):: C = 0 +8706 ns P2(a||b):: C = 1 +8710 ns P1(a&&b):: C = 0 +8710 ns P2(a&&b):: C = 1 +8712 ns P1(a||b):: C = 1 +8712 ns P2(a||b):: C = 0 +8716 ns P1(a&&b):: C = 0 +8716 ns P2(a&&b):: C = 1 +8718 ns P1(a||b):: C = 1 +8718 ns P2(a||b):: C = 0 +8722 ns P1(a&&b):: C = 0 +8722 ns P2(a&&b):: C = 1 +8724 ns P1(a||b):: C = 0 +8724 ns P2(a||b):: C = 1 +8728 ns P1(a&&b):: C = 0 +8728 ns P2(a&&b):: C = 1 +8730 ns P1(a||b):: C = 0 +8730 ns P2(a||b):: C = 1 +8734 ns P1(a&&b):: C = 1 +8734 ns P2(a&&b):: C = 0 +8736 ns P1(a||b):: C = 1 +8736 ns P2(a||b):: C = 0 +8740 ns P1(a&&b):: C = 0 +8740 ns P2(a&&b):: C = 1 +8742 ns P1(a||b):: C = 1 +8742 ns P2(a||b):: C = 0 +8746 ns P1(a&&b):: C = 1 +8746 ns P2(a&&b):: C = 0 +8748 ns P1(a||b):: C = 1 +8748 ns P2(a||b):: C = 0 +8752 ns P1(a&&b):: C = 0 +8752 ns P2(a&&b):: C = 1 +8754 ns P1(a||b):: C = 0 +8754 ns P2(a||b):: C = 1 +8758 ns P1(a&&b):: C = 0 +8758 ns P2(a&&b):: C = 1 +8760 ns P1(a||b):: C = 1 +8760 ns P2(a||b):: C = 0 +8764 ns P1(a&&b):: C = 0 +8764 ns P2(a&&b):: C = 1 +8766 ns P1(a||b):: C = 0 +8766 ns P2(a||b):: C = 1 +8770 ns P1(a&&b):: C = 0 +8770 ns P2(a&&b):: C = 1 +8772 ns P1(a||b):: C = 1 +8772 ns P2(a||b):: C = 0 +8776 ns P1(a&&b):: C = 0 +8776 ns P2(a&&b):: C = 1 +8778 ns P1(a||b):: C = 1 +8778 ns P2(a||b):: C = 0 +8782 ns P1(a&&b):: C = 1 +8782 ns P2(a&&b):: C = 0 +8784 ns P1(a||b):: C = 1 +8784 ns P2(a||b):: C = 0 +8788 ns P1(a&&b):: C = 0 +8788 ns P2(a&&b):: C = 1 +8790 ns P1(a||b):: C = 1 +8790 ns P2(a||b):: C = 0 +8794 ns P1(a&&b):: C = 0 +8794 ns P2(a&&b):: C = 1 +8796 ns P1(a||b):: C = 1 +8796 ns P2(a||b):: C = 0 +8800 ns P1(a&&b):: C = 0 +8800 ns P2(a&&b):: C = 1 +8802 ns P1(a||b):: C = 1 +8802 ns P2(a||b):: C = 0 +8806 ns P1(a&&b):: C = 0 +8806 ns P2(a&&b):: C = 1 +8808 ns P1(a||b):: C = 0 +8808 ns P2(a||b):: C = 1 +8812 ns P1(a&&b):: C = 0 +8812 ns P2(a&&b):: C = 1 +8814 ns P1(a||b):: C = 1 +8814 ns P2(a||b):: C = 0 +8818 ns P1(a&&b):: C = 0 +8818 ns P2(a&&b):: C = 1 +8820 ns P1(a||b):: C = 0 +8820 ns P2(a||b):: C = 1 +8824 ns P1(a&&b):: C = 0 +8824 ns P2(a&&b):: C = 1 +8826 ns P1(a||b):: C = 1 +8826 ns P2(a||b):: C = 0 +8830 ns P1(a&&b):: C = 0 +8830 ns P2(a&&b):: C = 1 +8832 ns P1(a||b):: C = 0 +8832 ns P2(a||b):: C = 1 +8836 ns P1(a&&b):: C = 0 +8836 ns P2(a&&b):: C = 1 +8838 ns P1(a||b):: C = 1 +8838 ns P2(a||b):: C = 0 +8842 ns P1(a&&b):: C = 1 +8842 ns P2(a&&b):: C = 0 +8844 ns P1(a||b):: C = 1 +8844 ns P2(a||b):: C = 0 +8848 ns P1(a&&b):: C = 0 +8848 ns P2(a&&b):: C = 1 +8850 ns P1(a||b):: C = 1 +8850 ns P2(a||b):: C = 0 +8854 ns P1(a&&b):: C = 0 +8854 ns P2(a&&b):: C = 1 +8856 ns P1(a||b):: C = 0 +8856 ns P2(a||b):: C = 1 +8860 ns P1(a&&b):: C = 0 +8860 ns P2(a&&b):: C = 1 +8862 ns P1(a||b):: C = 1 +8862 ns P2(a||b):: C = 0 +8866 ns P1(a&&b):: C = 0 +8866 ns P2(a&&b):: C = 1 +8868 ns P1(a||b):: C = 0 +8868 ns P2(a||b):: C = 1 +8872 ns P1(a&&b):: C = 1 +8872 ns P2(a&&b):: C = 0 +8874 ns P1(a||b):: C = 1 +8874 ns P2(a||b):: C = 0 +8878 ns P1(a&&b):: C = 0 +8878 ns P2(a&&b):: C = 1 +8880 ns P1(a||b):: C = 1 +8880 ns P2(a||b):: C = 0 +8884 ns P1(a&&b):: C = 0 +8884 ns P2(a&&b):: C = 1 +8886 ns P1(a||b):: C = 1 +8886 ns P2(a||b):: C = 0 +8890 ns P1(a&&b):: C = 1 +8890 ns P2(a&&b):: C = 0 +8892 ns P1(a||b):: C = 1 +8892 ns P2(a||b):: C = 0 +8896 ns P1(a&&b):: C = 0 +8896 ns P2(a&&b):: C = 1 +8898 ns P1(a||b):: C = 1 +8898 ns P2(a||b):: C = 0 +8902 ns P1(a&&b):: C = 0 +8902 ns P2(a&&b):: C = 1 +8904 ns P1(a||b):: C = 1 +8904 ns P2(a||b):: C = 0 +8908 ns P1(a&&b):: C = 0 +8908 ns P2(a&&b):: C = 1 +8910 ns P1(a||b):: C = 1 +8910 ns P2(a||b):: C = 0 +8914 ns P1(a&&b):: C = 1 +8914 ns P2(a&&b):: C = 0 +8916 ns P1(a||b):: C = 1 +8916 ns P2(a||b):: C = 0 +8920 ns P1(a&&b):: C = 1 +8920 ns P2(a&&b):: C = 0 +8922 ns P1(a||b):: C = 1 +8922 ns P2(a||b):: C = 0 +8926 ns P1(a&&b):: C = 0 +8926 ns P2(a&&b):: C = 1 +8928 ns P1(a||b):: C = 1 +8928 ns P2(a||b):: C = 0 +8932 ns P1(a&&b):: C = 0 +8932 ns P2(a&&b):: C = 1 +8934 ns P1(a||b):: C = 1 +8934 ns P2(a||b):: C = 0 +8938 ns P1(a&&b):: C = 0 +8938 ns P2(a&&b):: C = 1 +8940 ns P1(a||b):: C = 0 +8940 ns P2(a||b):: C = 1 +8944 ns P1(a&&b):: C = 1 +8944 ns P2(a&&b):: C = 0 +8946 ns P1(a||b):: C = 1 +8946 ns P2(a||b):: C = 0 +8950 ns P1(a&&b):: C = 0 +8950 ns P2(a&&b):: C = 1 +8952 ns P1(a||b):: C = 1 +8952 ns P2(a||b):: C = 0 +8956 ns P1(a&&b):: C = 0 +8956 ns P2(a&&b):: C = 1 +8958 ns P1(a||b):: C = 1 +8958 ns P2(a||b):: C = 0 +8962 ns P1(a&&b):: C = 0 +8962 ns P2(a&&b):: C = 1 +8964 ns P1(a||b):: C = 1 +8964 ns P2(a||b):: C = 0 +8968 ns P1(a&&b):: C = 0 +8968 ns P2(a&&b):: C = 1 +8970 ns P1(a||b):: C = 1 +8970 ns P2(a||b):: C = 0 +8974 ns P1(a&&b):: C = 1 +8974 ns P2(a&&b):: C = 0 +8976 ns P1(a||b):: C = 1 +8976 ns P2(a||b):: C = 0 +8980 ns P1(a&&b):: C = 1 +8980 ns P2(a&&b):: C = 0 +8982 ns P1(a||b):: C = 1 +8982 ns P2(a||b):: C = 0 +8986 ns P1(a&&b):: C = 0 +8986 ns P2(a&&b):: C = 1 +8988 ns P1(a||b):: C = 1 +8988 ns P2(a||b):: C = 0 +8992 ns P1(a&&b):: C = 0 +8992 ns P2(a&&b):: C = 1 +8994 ns P1(a||b):: C = 1 +8994 ns P2(a||b):: C = 0 +8998 ns P1(a&&b):: C = 0 +8998 ns P2(a&&b):: C = 1 +9 us P1(a||b):: C = 1 +9 us P2(a||b):: C = 0 +9004 ns P1(a&&b):: C = 0 +9004 ns P2(a&&b):: C = 1 +9006 ns P1(a||b):: C = 1 +9006 ns P2(a||b):: C = 0 +9010 ns P1(a&&b):: C = 0 +9010 ns P2(a&&b):: C = 1 +9012 ns P1(a||b):: C = 0 +9012 ns P2(a||b):: C = 1 +9016 ns P1(a&&b):: C = 0 +9016 ns P2(a&&b):: C = 1 +9018 ns P1(a||b):: C = 1 +9018 ns P2(a||b):: C = 0 +9022 ns P1(a&&b):: C = 1 +9022 ns P2(a&&b):: C = 0 +9024 ns P1(a||b):: C = 1 +9024 ns P2(a||b):: C = 0 +9028 ns P1(a&&b):: C = 0 +9028 ns P2(a&&b):: C = 1 +9030 ns P1(a||b):: C = 1 +9030 ns P2(a||b):: C = 0 +9034 ns P1(a&&b):: C = 0 +9034 ns P2(a&&b):: C = 1 +9036 ns P1(a||b):: C = 0 +9036 ns P2(a||b):: C = 1 +9040 ns P1(a&&b):: C = 0 +9040 ns P2(a&&b):: C = 1 +9042 ns P1(a||b):: C = 1 +9042 ns P2(a||b):: C = 0 +9046 ns P1(a&&b):: C = 1 +9046 ns P2(a&&b):: C = 0 +9048 ns P1(a||b):: C = 1 +9048 ns P2(a||b):: C = 0 +9052 ns P1(a&&b):: C = 0 +9052 ns P2(a&&b):: C = 1 +9054 ns P1(a||b):: C = 1 +9054 ns P2(a||b):: C = 0 +9058 ns P1(a&&b):: C = 0 +9058 ns P2(a&&b):: C = 1 +9060 ns P1(a||b):: C = 1 +9060 ns P2(a||b):: C = 0 +9064 ns P1(a&&b):: C = 0 +9064 ns P2(a&&b):: C = 1 +9066 ns P1(a||b):: C = 0 +9066 ns P2(a||b):: C = 1 +9070 ns P1(a&&b):: C = 0 +9070 ns P2(a&&b):: C = 1 +9072 ns P1(a||b):: C = 1 +9072 ns P2(a||b):: C = 0 +9076 ns P1(a&&b):: C = 0 +9076 ns P2(a&&b):: C = 1 +9078 ns P1(a||b):: C = 1 +9078 ns P2(a||b):: C = 0 +9082 ns P1(a&&b):: C = 1 +9082 ns P2(a&&b):: C = 0 +9084 ns P1(a||b):: C = 0 +9084 ns P2(a||b):: C = 1 +9088 ns P1(a&&b):: C = 1 +9088 ns P2(a&&b):: C = 0 +9090 ns P1(a||b):: C = 1 +9090 ns P2(a||b):: C = 0 +9094 ns P1(a&&b):: C = 0 +9094 ns P2(a&&b):: C = 1 +9096 ns P1(a||b):: C = 0 +9096 ns P2(a||b):: C = 1 +9100 ns P1(a&&b):: C = 0 +9100 ns P2(a&&b):: C = 1 +9102 ns P1(a||b):: C = 1 +9102 ns P2(a||b):: C = 0 +9106 ns P1(a&&b):: C = 0 +9106 ns P2(a&&b):: C = 1 +9108 ns P1(a||b):: C = 1 +9108 ns P2(a||b):: C = 0 +9112 ns P1(a&&b):: C = 1 +9112 ns P2(a&&b):: C = 0 +9114 ns P1(a||b):: C = 0 +9114 ns P2(a||b):: C = 1 +9118 ns P1(a&&b):: C = 0 +9118 ns P2(a&&b):: C = 1 +9120 ns P1(a||b):: C = 1 +9120 ns P2(a||b):: C = 0 +9124 ns P1(a&&b):: C = 1 +9124 ns P2(a&&b):: C = 0 +9126 ns P1(a||b):: C = 0 +9126 ns P2(a||b):: C = 1 +9130 ns P1(a&&b):: C = 0 +9130 ns P2(a&&b):: C = 1 +9132 ns P1(a||b):: C = 1 +9132 ns P2(a||b):: C = 0 +9136 ns P1(a&&b):: C = 0 +9136 ns P2(a&&b):: C = 1 +9138 ns P1(a||b):: C = 1 +9138 ns P2(a||b):: C = 0 +9142 ns P1(a&&b):: C = 0 +9142 ns P2(a&&b):: C = 1 +9144 ns P1(a||b):: C = 1 +9144 ns P2(a||b):: C = 0 +9148 ns P1(a&&b):: C = 1 +9148 ns P2(a&&b):: C = 0 +9150 ns P1(a||b):: C = 1 +9150 ns P2(a||b):: C = 0 +9154 ns P1(a&&b):: C = 0 +9154 ns P2(a&&b):: C = 1 +9156 ns P1(a||b):: C = 1 +9156 ns P2(a||b):: C = 0 +9160 ns P1(a&&b):: C = 0 +9160 ns P2(a&&b):: C = 1 +9162 ns P1(a||b):: C = 1 +9162 ns P2(a||b):: C = 0 +9166 ns P1(a&&b):: C = 0 +9166 ns P2(a&&b):: C = 1 +9168 ns P1(a||b):: C = 1 +9168 ns P2(a||b):: C = 0 +9172 ns P1(a&&b):: C = 0 +9172 ns P2(a&&b):: C = 1 +9174 ns P1(a||b):: C = 1 +9174 ns P2(a||b):: C = 0 +9178 ns P1(a&&b):: C = 0 +9178 ns P2(a&&b):: C = 1 +9180 ns P1(a||b):: C = 1 +9180 ns P2(a||b):: C = 0 +9184 ns P1(a&&b):: C = 1 +9184 ns P2(a&&b):: C = 0 +9186 ns P1(a||b):: C = 1 +9186 ns P2(a||b):: C = 0 +9190 ns P1(a&&b):: C = 0 +9190 ns P2(a&&b):: C = 1 +9192 ns P1(a||b):: C = 1 +9192 ns P2(a||b):: C = 0 +9196 ns P1(a&&b):: C = 0 +9196 ns P2(a&&b):: C = 1 +9198 ns P1(a||b):: C = 1 +9198 ns P2(a||b):: C = 0 +9202 ns P1(a&&b):: C = 1 +9202 ns P2(a&&b):: C = 0 +9204 ns P1(a||b):: C = 1 +9204 ns P2(a||b):: C = 0 +9208 ns P1(a&&b):: C = 0 +9208 ns P2(a&&b):: C = 1 +9210 ns P1(a||b):: C = 0 +9210 ns P2(a||b):: C = 1 +9214 ns P1(a&&b):: C = 0 +9214 ns P2(a&&b):: C = 1 +9216 ns P1(a||b):: C = 0 +9216 ns P2(a||b):: C = 1 +9220 ns P1(a&&b):: C = 0 +9220 ns P2(a&&b):: C = 1 +9222 ns P1(a||b):: C = 1 +9222 ns P2(a||b):: C = 0 +9226 ns P1(a&&b):: C = 0 +9226 ns P2(a&&b):: C = 1 +9228 ns P1(a||b):: C = 1 +9228 ns P2(a||b):: C = 0 +9232 ns P1(a&&b):: C = 0 +9232 ns P2(a&&b):: C = 1 +9234 ns P1(a||b):: C = 1 +9234 ns P2(a||b):: C = 0 +9238 ns P1(a&&b):: C = 0 +9238 ns P2(a&&b):: C = 1 +9240 ns P1(a||b):: C = 0 +9240 ns P2(a||b):: C = 1 +9244 ns P1(a&&b):: C = 0 +9244 ns P2(a&&b):: C = 1 +9246 ns P1(a||b):: C = 1 +9246 ns P2(a||b):: C = 0 +9250 ns P1(a&&b):: C = 0 +9250 ns P2(a&&b):: C = 1 +9252 ns P1(a||b):: C = 1 +9252 ns P2(a||b):: C = 0 +9256 ns P1(a&&b):: C = 0 +9256 ns P2(a&&b):: C = 1 +9258 ns P1(a||b):: C = 1 +9258 ns P2(a||b):: C = 0 +9262 ns P1(a&&b):: C = 0 +9262 ns P2(a&&b):: C = 1 +9264 ns P1(a||b):: C = 1 +9264 ns P2(a||b):: C = 0 +9268 ns P1(a&&b):: C = 0 +9268 ns P2(a&&b):: C = 1 +9270 ns P1(a||b):: C = 0 +9270 ns P2(a||b):: C = 1 +9274 ns P1(a&&b):: C = 0 +9274 ns P2(a&&b):: C = 1 +9276 ns P1(a||b):: C = 1 +9276 ns P2(a||b):: C = 0 +9280 ns P1(a&&b):: C = 1 +9280 ns P2(a&&b):: C = 0 +9282 ns P1(a||b):: C = 1 +9282 ns P2(a||b):: C = 0 +9286 ns P1(a&&b):: C = 0 +9286 ns P2(a&&b):: C = 1 +9288 ns P1(a||b):: C = 1 +9288 ns P2(a||b):: C = 0 +9292 ns P1(a&&b):: C = 1 +9292 ns P2(a&&b):: C = 0 +9294 ns P1(a||b):: C = 1 +9294 ns P2(a||b):: C = 0 +9298 ns P1(a&&b):: C = 1 +9298 ns P2(a&&b):: C = 0 +9300 ns P1(a||b):: C = 1 +9300 ns P2(a||b):: C = 0 +9304 ns P1(a&&b):: C = 0 +9304 ns P2(a&&b):: C = 1 +9306 ns P1(a||b):: C = 1 +9306 ns P2(a||b):: C = 0 +9310 ns P1(a&&b):: C = 0 +9310 ns P2(a&&b):: C = 1 +9312 ns P1(a||b):: C = 1 +9312 ns P2(a||b):: C = 0 +9316 ns P1(a&&b):: C = 0 +9316 ns P2(a&&b):: C = 1 +9318 ns P1(a||b):: C = 1 +9318 ns P2(a||b):: C = 0 +9322 ns P1(a&&b):: C = 0 +9322 ns P2(a&&b):: C = 1 +9324 ns P1(a||b):: C = 1 +9324 ns P2(a||b):: C = 0 +9328 ns P1(a&&b):: C = 0 +9328 ns P2(a&&b):: C = 1 +9330 ns P1(a||b):: C = 1 +9330 ns P2(a||b):: C = 0 +9334 ns P1(a&&b):: C = 0 +9334 ns P2(a&&b):: C = 1 +9336 ns P1(a||b):: C = 1 +9336 ns P2(a||b):: C = 0 +9340 ns P1(a&&b):: C = 0 +9340 ns P2(a&&b):: C = 1 +9342 ns P1(a||b):: C = 0 +9342 ns P2(a||b):: C = 1 +9346 ns P1(a&&b):: C = 1 +9346 ns P2(a&&b):: C = 0 +9348 ns P1(a||b):: C = 0 +9348 ns P2(a||b):: C = 1 +9352 ns P1(a&&b):: C = 0 +9352 ns P2(a&&b):: C = 1 +9354 ns P1(a||b):: C = 0 +9354 ns P2(a||b):: C = 1 +9358 ns P1(a&&b):: C = 1 +9358 ns P2(a&&b):: C = 0 +9360 ns P1(a||b):: C = 0 +9360 ns P2(a||b):: C = 1 +9364 ns P1(a&&b):: C = 0 +9364 ns P2(a&&b):: C = 1 +9366 ns P1(a||b):: C = 1 +9366 ns P2(a||b):: C = 0 +9370 ns P1(a&&b):: C = 0 +9370 ns P2(a&&b):: C = 1 +9372 ns P1(a||b):: C = 1 +9372 ns P2(a||b):: C = 0 +9376 ns P1(a&&b):: C = 0 +9376 ns P2(a&&b):: C = 1 +9378 ns P1(a||b):: C = 1 +9378 ns P2(a||b):: C = 0 +9382 ns P1(a&&b):: C = 0 +9382 ns P2(a&&b):: C = 1 +9384 ns P1(a||b):: C = 1 +9384 ns P2(a||b):: C = 0 +9388 ns P1(a&&b):: C = 0 +9388 ns P2(a&&b):: C = 1 +9390 ns P1(a||b):: C = 1 +9390 ns P2(a||b):: C = 0 +9394 ns P1(a&&b):: C = 0 +9394 ns P2(a&&b):: C = 1 +9396 ns P1(a||b):: C = 1 +9396 ns P2(a||b):: C = 0 +9400 ns P1(a&&b):: C = 0 +9400 ns P2(a&&b):: C = 1 +9402 ns P1(a||b):: C = 1 +9402 ns P2(a||b):: C = 0 +9406 ns P1(a&&b):: C = 0 +9406 ns P2(a&&b):: C = 1 +9408 ns P1(a||b):: C = 1 +9408 ns P2(a||b):: C = 0 +9412 ns P1(a&&b):: C = 0 +9412 ns P2(a&&b):: C = 1 +9414 ns P1(a||b):: C = 1 +9414 ns P2(a||b):: C = 0 +9418 ns P1(a&&b):: C = 0 +9418 ns P2(a&&b):: C = 1 +9420 ns P1(a||b):: C = 1 +9420 ns P2(a||b):: C = 0 +9424 ns P1(a&&b):: C = 0 +9424 ns P2(a&&b):: C = 1 +9426 ns P1(a||b):: C = 0 +9426 ns P2(a||b):: C = 1 +9430 ns P1(a&&b):: C = 1 +9430 ns P2(a&&b):: C = 0 +9432 ns P1(a||b):: C = 0 +9432 ns P2(a||b):: C = 1 +9436 ns P1(a&&b):: C = 1 +9436 ns P2(a&&b):: C = 0 +9438 ns P1(a||b):: C = 1 +9438 ns P2(a||b):: C = 0 +9442 ns P1(a&&b):: C = 0 +9442 ns P2(a&&b):: C = 1 +9444 ns P1(a||b):: C = 0 +9444 ns P2(a||b):: C = 1 +9448 ns P1(a&&b):: C = 1 +9448 ns P2(a&&b):: C = 0 +9450 ns P1(a||b):: C = 1 +9450 ns P2(a||b):: C = 0 +9454 ns P1(a&&b):: C = 1 +9454 ns P2(a&&b):: C = 0 +9456 ns P1(a||b):: C = 1 +9456 ns P2(a||b):: C = 0 +9460 ns P1(a&&b):: C = 0 +9460 ns P2(a&&b):: C = 1 +9462 ns P1(a||b):: C = 0 +9462 ns P2(a||b):: C = 1 +9466 ns P1(a&&b):: C = 0 +9466 ns P2(a&&b):: C = 1 +9468 ns P1(a||b):: C = 1 +9468 ns P2(a||b):: C = 0 +9472 ns P1(a&&b):: C = 0 +9472 ns P2(a&&b):: C = 1 +9474 ns P1(a||b):: C = 0 +9474 ns P2(a||b):: C = 1 +9478 ns P1(a&&b):: C = 0 +9478 ns P2(a&&b):: C = 1 +9480 ns P1(a||b):: C = 1 +9480 ns P2(a||b):: C = 0 +9484 ns P1(a&&b):: C = 0 +9484 ns P2(a&&b):: C = 1 +9486 ns P1(a||b):: C = 1 +9486 ns P2(a||b):: C = 0 +9490 ns P1(a&&b):: C = 0 +9490 ns P2(a&&b):: C = 1 +9492 ns P1(a||b):: C = 1 +9492 ns P2(a||b):: C = 0 +9496 ns P1(a&&b):: C = 0 +9496 ns P2(a&&b):: C = 1 +9498 ns P1(a||b):: C = 1 +9498 ns P2(a||b):: C = 0 +9502 ns P1(a&&b):: C = 1 +9502 ns P2(a&&b):: C = 0 +9504 ns P1(a||b):: C = 0 +9504 ns P2(a||b):: C = 1 +9508 ns P1(a&&b):: C = 1 +9508 ns P2(a&&b):: C = 0 +9510 ns P1(a||b):: C = 1 +9510 ns P2(a||b):: C = 0 +9514 ns P1(a&&b):: C = 0 +9514 ns P2(a&&b):: C = 1 +9516 ns P1(a||b):: C = 1 +9516 ns P2(a||b):: C = 0 +9520 ns P1(a&&b):: C = 0 +9520 ns P2(a&&b):: C = 1 +9522 ns P1(a||b):: C = 0 +9522 ns P2(a||b):: C = 1 +9526 ns P1(a&&b):: C = 0 +9526 ns P2(a&&b):: C = 1 +9528 ns P1(a||b):: C = 1 +9528 ns P2(a||b):: C = 0 +9532 ns P1(a&&b):: C = 0 +9532 ns P2(a&&b):: C = 1 +9534 ns P1(a||b):: C = 1 +9534 ns P2(a||b):: C = 0 +9538 ns P1(a&&b):: C = 0 +9538 ns P2(a&&b):: C = 1 +9540 ns P1(a||b):: C = 1 +9540 ns P2(a||b):: C = 0 +9544 ns P1(a&&b):: C = 0 +9544 ns P2(a&&b):: C = 1 +9546 ns P1(a||b):: C = 1 +9546 ns P2(a||b):: C = 0 +9550 ns P1(a&&b):: C = 0 +9550 ns P2(a&&b):: C = 1 +9552 ns P1(a||b):: C = 0 +9552 ns P2(a||b):: C = 1 +9556 ns P1(a&&b):: C = 0 +9556 ns P2(a&&b):: C = 1 +9558 ns P1(a||b):: C = 0 +9558 ns P2(a||b):: C = 1 +9562 ns P1(a&&b):: C = 0 +9562 ns P2(a&&b):: C = 1 +9564 ns P1(a||b):: C = 0 +9564 ns P2(a||b):: C = 1 +9568 ns P1(a&&b):: C = 0 +9568 ns P2(a&&b):: C = 1 +9570 ns P1(a||b):: C = 1 +9570 ns P2(a||b):: C = 0 +9574 ns P1(a&&b):: C = 1 +9574 ns P2(a&&b):: C = 0 +9576 ns P1(a||b):: C = 1 +9576 ns P2(a||b):: C = 0 +9580 ns P1(a&&b):: C = 0 +9580 ns P2(a&&b):: C = 1 +9582 ns P1(a||b):: C = 1 +9582 ns P2(a||b):: C = 0 +9586 ns P1(a&&b):: C = 0 +9586 ns P2(a&&b):: C = 1 +9588 ns P1(a||b):: C = 1 +9588 ns P2(a||b):: C = 0 +9592 ns P1(a&&b):: C = 0 +9592 ns P2(a&&b):: C = 1 +9594 ns P1(a||b):: C = 1 +9594 ns P2(a||b):: C = 0 +9598 ns P1(a&&b):: C = 0 +9598 ns P2(a&&b):: C = 1 +9600 ns P1(a||b):: C = 1 +9600 ns P2(a||b):: C = 0 +9604 ns P1(a&&b):: C = 1 +9604 ns P2(a&&b):: C = 0 +9606 ns P1(a||b):: C = 0 +9606 ns P2(a||b):: C = 1 +9610 ns P1(a&&b):: C = 0 +9610 ns P2(a&&b):: C = 1 +9612 ns P1(a||b):: C = 1 +9612 ns P2(a||b):: C = 0 +9616 ns P1(a&&b):: C = 0 +9616 ns P2(a&&b):: C = 1 +9618 ns P1(a||b):: C = 0 +9618 ns P2(a||b):: C = 1 +9622 ns P1(a&&b):: C = 0 +9622 ns P2(a&&b):: C = 1 +9624 ns P1(a||b):: C = 1 +9624 ns P2(a||b):: C = 0 +9628 ns P1(a&&b):: C = 1 +9628 ns P2(a&&b):: C = 0 +9630 ns P1(a||b):: C = 1 +9630 ns P2(a||b):: C = 0 +9634 ns P1(a&&b):: C = 0 +9634 ns P2(a&&b):: C = 1 +9636 ns P1(a||b):: C = 1 +9636 ns P2(a||b):: C = 0 +9640 ns P1(a&&b):: C = 0 +9640 ns P2(a&&b):: C = 1 +9642 ns P1(a||b):: C = 0 +9642 ns P2(a||b):: C = 1 +9646 ns P1(a&&b):: C = 0 +9646 ns P2(a&&b):: C = 1 +9648 ns P1(a||b):: C = 1 +9648 ns P2(a||b):: C = 0 +9652 ns P1(a&&b):: C = 0 +9652 ns P2(a&&b):: C = 1 +9654 ns P1(a||b):: C = 1 +9654 ns P2(a||b):: C = 0 +9658 ns P1(a&&b):: C = 0 +9658 ns P2(a&&b):: C = 1 +9660 ns P1(a||b):: C = 0 +9660 ns P2(a||b):: C = 1 +9664 ns P1(a&&b):: C = 0 +9664 ns P2(a&&b):: C = 1 +9666 ns P1(a||b):: C = 1 +9666 ns P2(a||b):: C = 0 +9670 ns P1(a&&b):: C = 1 +9670 ns P2(a&&b):: C = 0 +9672 ns P1(a||b):: C = 1 +9672 ns P2(a||b):: C = 0 +9676 ns P1(a&&b):: C = 1 +9676 ns P2(a&&b):: C = 0 +9678 ns P1(a||b):: C = 0 +9678 ns P2(a||b):: C = 1 +9682 ns P1(a&&b):: C = 0 +9682 ns P2(a&&b):: C = 1 +9684 ns P1(a||b):: C = 1 +9684 ns P2(a||b):: C = 0 +9688 ns P1(a&&b):: C = 0 +9688 ns P2(a&&b):: C = 1 +9690 ns P1(a||b):: C = 0 +9690 ns P2(a||b):: C = 1 +9694 ns P1(a&&b):: C = 0 +9694 ns P2(a&&b):: C = 1 +9696 ns P1(a||b):: C = 0 +9696 ns P2(a||b):: C = 1 +9700 ns P1(a&&b):: C = 0 +9700 ns P2(a&&b):: C = 1 +9702 ns P1(a||b):: C = 1 +9702 ns P2(a||b):: C = 0 +9706 ns P1(a&&b):: C = 0 +9706 ns P2(a&&b):: C = 1 +9708 ns P1(a||b):: C = 1 +9708 ns P2(a||b):: C = 0 +9712 ns P1(a&&b):: C = 1 +9712 ns P2(a&&b):: C = 0 +9714 ns P1(a||b):: C = 1 +9714 ns P2(a||b):: C = 0 +9718 ns P1(a&&b):: C = 0 +9718 ns P2(a&&b):: C = 1 +9720 ns P1(a||b):: C = 1 +9720 ns P2(a||b):: C = 0 +9724 ns P1(a&&b):: C = 0 +9724 ns P2(a&&b):: C = 1 +9726 ns P1(a||b):: C = 1 +9726 ns P2(a||b):: C = 0 +9730 ns P1(a&&b):: C = 0 +9730 ns P2(a&&b):: C = 1 +9732 ns P1(a||b):: C = 1 +9732 ns P2(a||b):: C = 0 +9736 ns P1(a&&b):: C = 0 +9736 ns P2(a&&b):: C = 1 +9738 ns P1(a||b):: C = 1 +9738 ns P2(a||b):: C = 0 +9742 ns P1(a&&b):: C = 0 +9742 ns P2(a&&b):: C = 1 +9744 ns P1(a||b):: C = 1 +9744 ns P2(a||b):: C = 0 +9748 ns P1(a&&b):: C = 0 +9748 ns P2(a&&b):: C = 1 +9750 ns P1(a||b):: C = 1 +9750 ns P2(a||b):: C = 0 +9754 ns P1(a&&b):: C = 0 +9754 ns P2(a&&b):: C = 1 +9756 ns P1(a||b):: C = 1 +9756 ns P2(a||b):: C = 0 +9760 ns P1(a&&b):: C = 0 +9760 ns P2(a&&b):: C = 1 +9762 ns P1(a||b):: C = 1 +9762 ns P2(a||b):: C = 0 +9766 ns P1(a&&b):: C = 0 +9766 ns P2(a&&b):: C = 1 +9768 ns P1(a||b):: C = 1 +9768 ns P2(a||b):: C = 0 +9772 ns P1(a&&b):: C = 0 +9772 ns P2(a&&b):: C = 1 +9774 ns P1(a||b):: C = 1 +9774 ns P2(a||b):: C = 0 +9778 ns P1(a&&b):: C = 1 +9778 ns P2(a&&b):: C = 0 +9780 ns P1(a||b):: C = 1 +9780 ns P2(a||b):: C = 0 +9784 ns P1(a&&b):: C = 0 +9784 ns P2(a&&b):: C = 1 +9786 ns P1(a||b):: C = 1 +9786 ns P2(a||b):: C = 0 +9790 ns P1(a&&b):: C = 0 +9790 ns P2(a&&b):: C = 1 +9792 ns P1(a||b):: C = 1 +9792 ns P2(a||b):: C = 0 +9796 ns P1(a&&b):: C = 0 +9796 ns P2(a&&b):: C = 1 +9798 ns P1(a||b):: C = 0 +9798 ns P2(a||b):: C = 1 +9802 ns P1(a&&b):: C = 0 +9802 ns P2(a&&b):: C = 1 +9804 ns P1(a||b):: C = 1 +9804 ns P2(a||b):: C = 0 +9808 ns P1(a&&b):: C = 0 +9808 ns P2(a&&b):: C = 1 +9810 ns P1(a||b):: C = 1 +9810 ns P2(a||b):: C = 0 +9814 ns P1(a&&b):: C = 0 +9814 ns P2(a&&b):: C = 1 +9816 ns P1(a||b):: C = 1 +9816 ns P2(a||b):: C = 0 +9820 ns P1(a&&b):: C = 0 +9820 ns P2(a&&b):: C = 1 +9822 ns P1(a||b):: C = 1 +9822 ns P2(a||b):: C = 0 +9826 ns P1(a&&b):: C = 0 +9826 ns P2(a&&b):: C = 1 +9828 ns P1(a||b):: C = 1 +9828 ns P2(a||b):: C = 0 +9832 ns P1(a&&b):: C = 0 +9832 ns P2(a&&b):: C = 1 +9834 ns P1(a||b):: C = 1 +9834 ns P2(a||b):: C = 0 +9838 ns P1(a&&b):: C = 0 +9838 ns P2(a&&b):: C = 1 +9840 ns P1(a||b):: C = 1 +9840 ns P2(a||b):: C = 0 +9844 ns P1(a&&b):: C = 0 +9844 ns P2(a&&b):: C = 1 +9846 ns P1(a||b):: C = 1 +9846 ns P2(a||b):: C = 0 +9850 ns P1(a&&b):: C = 0 +9850 ns P2(a&&b):: C = 1 +9852 ns P1(a||b):: C = 1 +9852 ns P2(a||b):: C = 0 +9856 ns P1(a&&b):: C = 0 +9856 ns P2(a&&b):: C = 1 +9858 ns P1(a||b):: C = 1 +9858 ns P2(a||b):: C = 0 +9862 ns P1(a&&b):: C = 0 +9862 ns P2(a&&b):: C = 1 +9864 ns P1(a||b):: C = 1 +9864 ns P2(a||b):: C = 0 +9868 ns P1(a&&b):: C = 0 +9868 ns P2(a&&b):: C = 1 +9870 ns P1(a||b):: C = 0 +9870 ns P2(a||b):: C = 1 +9874 ns P1(a&&b):: C = 1 +9874 ns P2(a&&b):: C = 0 +9876 ns P1(a||b):: C = 0 +9876 ns P2(a||b):: C = 1 +9880 ns P1(a&&b):: C = 0 +9880 ns P2(a&&b):: C = 1 +9882 ns P1(a||b):: C = 1 +9882 ns P2(a||b):: C = 0 +9886 ns P1(a&&b):: C = 0 +9886 ns P2(a&&b):: C = 1 +9888 ns P1(a||b):: C = 1 +9888 ns P2(a||b):: C = 0 +9892 ns P1(a&&b):: C = 0 +9892 ns P2(a&&b):: C = 1 +9894 ns P1(a||b):: C = 1 +9894 ns P2(a||b):: C = 0 +9898 ns P1(a&&b):: C = 0 +9898 ns P2(a&&b):: C = 1 +9900 ns P1(a||b):: C = 1 +9900 ns P2(a||b):: C = 0 +9904 ns P1(a&&b):: C = 0 +9904 ns P2(a&&b):: C = 1 +9906 ns P1(a||b):: C = 0 +9906 ns P2(a||b):: C = 1 +9910 ns P1(a&&b):: C = 0 +9910 ns P2(a&&b):: C = 1 +9912 ns P1(a||b):: C = 1 +9912 ns P2(a||b):: C = 0 +9916 ns P1(a&&b):: C = 0 +9916 ns P2(a&&b):: C = 1 +9918 ns P1(a||b):: C = 0 +9918 ns P2(a||b):: C = 1 +9922 ns P1(a&&b):: C = 0 +9922 ns P2(a&&b):: C = 1 +9924 ns P1(a||b):: C = 1 +9924 ns P2(a||b):: C = 0 +9928 ns P1(a&&b):: C = 0 +9928 ns P2(a&&b):: C = 1 +9930 ns P1(a||b):: C = 1 +9930 ns P2(a||b):: C = 0 +9934 ns P1(a&&b):: C = 0 +9934 ns P2(a&&b):: C = 1 +9936 ns P1(a||b):: C = 1 +9936 ns P2(a||b):: C = 0 +9940 ns P1(a&&b):: C = 0 +9940 ns P2(a&&b):: C = 1 +9942 ns P1(a||b):: C = 1 +9942 ns P2(a||b):: C = 0 +9946 ns P1(a&&b):: C = 1 +9946 ns P2(a&&b):: C = 0 +9948 ns P1(a||b):: C = 1 +9948 ns P2(a||b):: C = 0 +9952 ns P1(a&&b):: C = 0 +9952 ns P2(a&&b):: C = 1 +9954 ns P1(a||b):: C = 1 +9954 ns P2(a||b):: C = 0 +9958 ns P1(a&&b):: C = 0 +9958 ns P2(a&&b):: C = 1 +9960 ns P1(a||b):: C = 1 +9960 ns P2(a||b):: C = 0 +9964 ns P1(a&&b):: C = 1 +9964 ns P2(a&&b):: C = 0 +9966 ns P1(a||b):: C = 1 +9966 ns P2(a||b):: C = 0 +9970 ns P1(a&&b):: C = 0 +9970 ns P2(a&&b):: C = 1 +9972 ns P1(a||b):: C = 1 +9972 ns P2(a||b):: C = 0 +9976 ns P1(a&&b):: C = 0 +9976 ns P2(a&&b):: C = 1 +9978 ns P1(a||b):: C = 1 +9978 ns P2(a||b):: C = 0 +9982 ns P1(a&&b):: C = 1 +9982 ns P2(a&&b):: C = 0 +9984 ns P1(a||b):: C = 1 +9984 ns P2(a||b):: C = 0 +9988 ns P1(a&&b):: C = 1 +9988 ns P2(a&&b):: C = 0 +9990 ns P1(a||b):: C = 1 +9990 ns P2(a||b):: C = 0 +9994 ns P1(a&&b):: C = 0 +9994 ns P2(a&&b):: C = 1 +9996 ns P1(a||b):: C = 1 +9996 ns P2(a||b):: C = 0 +10 us P1(a&&b):: C = 1 +10 us P2(a&&b):: C = 0 +10002 ns P1(a||b):: C = 1 +10002 ns P2(a||b):: C = 0 +10006 ns P1(a&&b):: C = 0 +10006 ns P2(a&&b):: C = 1 +10008 ns P1(a||b):: C = 1 +10008 ns P2(a||b):: C = 0 +10012 ns P1(a&&b):: C = 0 +10012 ns P2(a&&b):: C = 1 +10014 ns P1(a||b):: C = 0 +10014 ns P2(a||b):: C = 1 +10018 ns P1(a&&b):: C = 0 +10018 ns P2(a&&b):: C = 1 +10020 ns P1(a||b):: C = 1 +10020 ns P2(a||b):: C = 0 +10024 ns P1(a&&b):: C = 1 +10024 ns P2(a&&b):: C = 0 +10026 ns P1(a||b):: C = 1 +10026 ns P2(a||b):: C = 0 +10030 ns P1(a&&b):: C = 0 +10030 ns P2(a&&b):: C = 1 +10032 ns P1(a||b):: C = 0 +10032 ns P2(a||b):: C = 1 +10036 ns P1(a&&b):: C = 1 +10036 ns P2(a&&b):: C = 0 +10038 ns P1(a||b):: C = 0 +10038 ns P2(a||b):: C = 1 +10042 ns P1(a&&b):: C = 1 +10042 ns P2(a&&b):: C = 0 +10044 ns P1(a||b):: C = 1 +10044 ns P2(a||b):: C = 0 +10048 ns P1(a&&b):: C = 0 +10048 ns P2(a&&b):: C = 1 +10050 ns P1(a||b):: C = 0 +10050 ns P2(a||b):: C = 1 +10054 ns P1(a&&b):: C = 1 +10054 ns P2(a&&b):: C = 0 +10056 ns P1(a||b):: C = 0 +10056 ns P2(a||b):: C = 1 +10060 ns P1(a&&b):: C = 0 +10060 ns P2(a&&b):: C = 1 +10062 ns P1(a||b):: C = 1 +10062 ns P2(a||b):: C = 0 +10066 ns P1(a&&b):: C = 0 +10066 ns P2(a&&b):: C = 1 +10068 ns P1(a||b):: C = 0 +10068 ns P2(a||b):: C = 1 +10072 ns P1(a&&b):: C = 0 +10072 ns P2(a&&b):: C = 1 +10074 ns P1(a||b):: C = 0 +10074 ns P2(a||b):: C = 1 +10078 ns P1(a&&b):: C = 0 +10078 ns P2(a&&b):: C = 1 +10080 ns P1(a||b):: C = 1 +10080 ns P2(a||b):: C = 0 +10084 ns P1(a&&b):: C = 0 +10084 ns P2(a&&b):: C = 1 +10086 ns P1(a||b):: C = 1 +10086 ns P2(a||b):: C = 0 +10090 ns P1(a&&b):: C = 0 +10090 ns P2(a&&b):: C = 1 +10092 ns P1(a||b):: C = 1 +10092 ns P2(a||b):: C = 0 +10096 ns P1(a&&b):: C = 0 +10096 ns P2(a&&b):: C = 1 +10098 ns P1(a||b):: C = 0 +10098 ns P2(a||b):: C = 1 +10102 ns P1(a&&b):: C = 0 +10102 ns P2(a&&b):: C = 1 +10104 ns P1(a||b):: C = 1 +10104 ns P2(a||b):: C = 0 +10108 ns P1(a&&b):: C = 0 +10108 ns P2(a&&b):: C = 1 +10110 ns P1(a||b):: C = 1 +10110 ns P2(a||b):: C = 0 +10114 ns P1(a&&b):: C = 0 +10114 ns P2(a&&b):: C = 1 +10116 ns P1(a||b):: C = 0 +10116 ns P2(a||b):: C = 1 +10120 ns P1(a&&b):: C = 0 +10120 ns P2(a&&b):: C = 1 +10122 ns P1(a||b):: C = 1 +10122 ns P2(a||b):: C = 0 +10126 ns P1(a&&b):: C = 0 +10126 ns P2(a&&b):: C = 1 +10128 ns P1(a||b):: C = 1 +10128 ns P2(a||b):: C = 0 +10132 ns P1(a&&b):: C = 0 +10132 ns P2(a&&b):: C = 1 +10134 ns P1(a||b):: C = 0 +10134 ns P2(a||b):: C = 1 +10138 ns P1(a&&b):: C = 0 +10138 ns P2(a&&b):: C = 1 +10140 ns P1(a||b):: C = 1 +10140 ns P2(a||b):: C = 0 +10144 ns P1(a&&b):: C = 0 +10144 ns P2(a&&b):: C = 1 +10146 ns P1(a||b):: C = 0 +10146 ns P2(a||b):: C = 1 +10150 ns P1(a&&b):: C = 0 +10150 ns P2(a&&b):: C = 1 +10152 ns P1(a||b):: C = 1 +10152 ns P2(a||b):: C = 0 +10156 ns P1(a&&b):: C = 0 +10156 ns P2(a&&b):: C = 1 +10158 ns P1(a||b):: C = 1 +10158 ns P2(a||b):: C = 0 +10162 ns P1(a&&b):: C = 0 +10162 ns P2(a&&b):: C = 1 +10164 ns P1(a||b):: C = 1 +10164 ns P2(a||b):: C = 0 +10168 ns P1(a&&b):: C = 0 +10168 ns P2(a&&b):: C = 1 +10170 ns P1(a||b):: C = 1 +10170 ns P2(a||b):: C = 0 +10174 ns P1(a&&b):: C = 1 +10174 ns P2(a&&b):: C = 0 +10176 ns P1(a||b):: C = 1 +10176 ns P2(a||b):: C = 0 +10180 ns P1(a&&b):: C = 0 +10180 ns P2(a&&b):: C = 1 +10182 ns P1(a||b):: C = 1 +10182 ns P2(a||b):: C = 0 +10186 ns P1(a&&b):: C = 1 +10186 ns P2(a&&b):: C = 0 +10188 ns P1(a||b):: C = 1 +10188 ns P2(a||b):: C = 0 +10192 ns P1(a&&b):: C = 1 +10192 ns P2(a&&b):: C = 0 +10194 ns P1(a||b):: C = 1 +10194 ns P2(a||b):: C = 0 +10198 ns P1(a&&b):: C = 0 +10198 ns P2(a&&b):: C = 1 +10200 ns P1(a||b):: C = 1 +10200 ns P2(a||b):: C = 0 +10204 ns P1(a&&b):: C = 0 +10204 ns P2(a&&b):: C = 1 +10206 ns P1(a||b):: C = 0 +10206 ns P2(a||b):: C = 1 +10210 ns P1(a&&b):: C = 0 +10210 ns P2(a&&b):: C = 1 +10212 ns P1(a||b):: C = 1 +10212 ns P2(a||b):: C = 0 +10216 ns P1(a&&b):: C = 0 +10216 ns P2(a&&b):: C = 1 +10218 ns P1(a||b):: C = 0 +10218 ns P2(a||b):: C = 1 +10222 ns P1(a&&b):: C = 0 +10222 ns P2(a&&b):: C = 1 +10224 ns P1(a||b):: C = 1 +10224 ns P2(a||b):: C = 0 +10228 ns P1(a&&b):: C = 0 +10228 ns P2(a&&b):: C = 1 +10230 ns P1(a||b):: C = 0 +10230 ns P2(a||b):: C = 1 +10234 ns P1(a&&b):: C = 0 +10234 ns P2(a&&b):: C = 1 +10236 ns P1(a||b):: C = 1 +10236 ns P2(a||b):: C = 0 +10240 ns P1(a&&b):: C = 0 +10240 ns P2(a&&b):: C = 1 +10242 ns P1(a||b):: C = 0 +10242 ns P2(a||b):: C = 1 +10246 ns P1(a&&b):: C = 1 +10246 ns P2(a&&b):: C = 0 +10248 ns P1(a||b):: C = 1 +10248 ns P2(a||b):: C = 0 +10252 ns P1(a&&b):: C = 0 +10252 ns P2(a&&b):: C = 1 +10254 ns P1(a||b):: C = 0 +10254 ns P2(a||b):: C = 1 +10258 ns P1(a&&b):: C = 1 +10258 ns P2(a&&b):: C = 0 +10260 ns P1(a||b):: C = 0 +10260 ns P2(a||b):: C = 1 +10264 ns P1(a&&b):: C = 0 +10264 ns P2(a&&b):: C = 1 +10266 ns P1(a||b):: C = 1 +10266 ns P2(a||b):: C = 0 +10270 ns P1(a&&b):: C = 1 +10270 ns P2(a&&b):: C = 0 +10272 ns P1(a||b):: C = 1 +10272 ns P2(a||b):: C = 0 +10276 ns P1(a&&b):: C = 0 +10276 ns P2(a&&b):: C = 1 +10278 ns P1(a||b):: C = 1 +10278 ns P2(a||b):: C = 0 +10282 ns P1(a&&b):: C = 0 +10282 ns P2(a&&b):: C = 1 +10284 ns P1(a||b):: C = 1 +10284 ns P2(a||b):: C = 0 +10288 ns P1(a&&b):: C = 0 +10288 ns P2(a&&b):: C = 1 +10290 ns P1(a||b):: C = 0 +10290 ns P2(a||b):: C = 1 +10294 ns P1(a&&b):: C = 0 +10294 ns P2(a&&b):: C = 1 +10296 ns P1(a||b):: C = 1 +10296 ns P2(a||b):: C = 0 +10300 ns P1(a&&b):: C = 0 +10300 ns P2(a&&b):: C = 1 +10302 ns P1(a||b):: C = 1 +10302 ns P2(a||b):: C = 0 +10306 ns P1(a&&b):: C = 0 +10306 ns P2(a&&b):: C = 1 +10308 ns P1(a||b):: C = 1 +10308 ns P2(a||b):: C = 0 +10312 ns P1(a&&b):: C = 0 +10312 ns P2(a&&b):: C = 1 +10314 ns P1(a||b):: C = 1 +10314 ns P2(a||b):: C = 0 +10318 ns P1(a&&b):: C = 0 +10318 ns P2(a&&b):: C = 1 +10320 ns P1(a||b):: C = 1 +10320 ns P2(a||b):: C = 0 +10324 ns P1(a&&b):: C = 0 +10324 ns P2(a&&b):: C = 1 +10326 ns P1(a||b):: C = 1 +10326 ns P2(a||b):: C = 0 +10330 ns P1(a&&b):: C = 1 +10330 ns P2(a&&b):: C = 0 +10332 ns P1(a||b):: C = 1 +10332 ns P2(a||b):: C = 0 +10336 ns P1(a&&b):: C = 1 +10336 ns P2(a&&b):: C = 0 +10338 ns P1(a||b):: C = 1 +10338 ns P2(a||b):: C = 0 +10342 ns P1(a&&b):: C = 1 +10342 ns P2(a&&b):: C = 0 +10344 ns P1(a||b):: C = 1 +10344 ns P2(a||b):: C = 0 +10348 ns P1(a&&b):: C = 0 +10348 ns P2(a&&b):: C = 1 +10350 ns P1(a||b):: C = 1 +10350 ns P2(a||b):: C = 0 +10354 ns P1(a&&b):: C = 0 +10354 ns P2(a&&b):: C = 1 +10356 ns P1(a||b):: C = 1 +10356 ns P2(a||b):: C = 0 +10360 ns P1(a&&b):: C = 0 +10360 ns P2(a&&b):: C = 1 +10362 ns P1(a||b):: C = 1 +10362 ns P2(a||b):: C = 0 +10366 ns P1(a&&b):: C = 0 +10366 ns P2(a&&b):: C = 1 +10368 ns P1(a||b):: C = 1 +10368 ns P2(a||b):: C = 0 +10372 ns P1(a&&b):: C = 0 +10372 ns P2(a&&b):: C = 1 +10374 ns P1(a||b):: C = 1 +10374 ns P2(a||b):: C = 0 +10378 ns P1(a&&b):: C = 0 +10378 ns P2(a&&b):: C = 1 +10380 ns P1(a||b):: C = 1 +10380 ns P2(a||b):: C = 0 +10384 ns P1(a&&b):: C = 1 +10384 ns P2(a&&b):: C = 0 +10386 ns P1(a||b):: C = 1 +10386 ns P2(a||b):: C = 0 +10390 ns P1(a&&b):: C = 0 +10390 ns P2(a&&b):: C = 1 +10392 ns P1(a||b):: C = 1 +10392 ns P2(a||b):: C = 0 +10396 ns P1(a&&b):: C = 1 +10396 ns P2(a&&b):: C = 0 +10398 ns P1(a||b):: C = 1 +10398 ns P2(a||b):: C = 0 +10402 ns P1(a&&b):: C = 0 +10402 ns P2(a&&b):: C = 1 +10404 ns P1(a||b):: C = 1 +10404 ns P2(a||b):: C = 0 +10408 ns P1(a&&b):: C = 0 +10408 ns P2(a&&b):: C = 1 +10410 ns P1(a||b):: C = 1 +10410 ns P2(a||b):: C = 0 +10414 ns P1(a&&b):: C = 0 +10414 ns P2(a&&b):: C = 1 +10416 ns P1(a||b):: C = 1 +10416 ns P2(a||b):: C = 0 +10420 ns P1(a&&b):: C = 0 +10420 ns P2(a&&b):: C = 1 +10422 ns P1(a||b):: C = 1 +10422 ns P2(a||b):: C = 0 +10426 ns P1(a&&b):: C = 1 +10426 ns P2(a&&b):: C = 0 +10428 ns P1(a||b):: C = 1 +10428 ns P2(a||b):: C = 0 +10432 ns P1(a&&b):: C = 1 +10432 ns P2(a&&b):: C = 0 +10434 ns P1(a||b):: C = 1 +10434 ns P2(a||b):: C = 0 +10438 ns P1(a&&b):: C = 0 +10438 ns P2(a&&b):: C = 1 +10440 ns P1(a||b):: C = 1 +10440 ns P2(a||b):: C = 0 +10444 ns P1(a&&b):: C = 0 +10444 ns P2(a&&b):: C = 1 +10446 ns P1(a||b):: C = 1 +10446 ns P2(a||b):: C = 0 +10450 ns P1(a&&b):: C = 0 +10450 ns P2(a&&b):: C = 1 +10452 ns P1(a||b):: C = 1 +10452 ns P2(a||b):: C = 0 +10456 ns P1(a&&b):: C = 0 +10456 ns P2(a&&b):: C = 1 +10458 ns P1(a||b):: C = 1 +10458 ns P2(a||b):: C = 0 +10462 ns P1(a&&b):: C = 0 +10462 ns P2(a&&b):: C = 1 +10464 ns P1(a||b):: C = 1 +10464 ns P2(a||b):: C = 0 +10468 ns P1(a&&b):: C = 1 +10468 ns P2(a&&b):: C = 0 +10470 ns P1(a||b):: C = 1 +10470 ns P2(a||b):: C = 0 +10474 ns P1(a&&b):: C = 0 +10474 ns P2(a&&b):: C = 1 +10476 ns P1(a||b):: C = 1 +10476 ns P2(a||b):: C = 0 +10480 ns P1(a&&b):: C = 0 +10480 ns P2(a&&b):: C = 1 +10482 ns P1(a||b):: C = 1 +10482 ns P2(a||b):: C = 0 +10486 ns P1(a&&b):: C = 0 +10486 ns P2(a&&b):: C = 1 +10488 ns P1(a||b):: C = 1 +10488 ns P2(a||b):: C = 0 +10492 ns P1(a&&b):: C = 1 +10492 ns P2(a&&b):: C = 0 +10494 ns P1(a||b):: C = 1 +10494 ns P2(a||b):: C = 0 +10498 ns P1(a&&b):: C = 0 +10498 ns P2(a&&b):: C = 1 +10500 ns P1(a||b):: C = 1 +10500 ns P2(a||b):: C = 0 +10504 ns P1(a&&b):: C = 0 +10504 ns P2(a&&b):: C = 1 +10506 ns P1(a||b):: C = 0 +10506 ns P2(a||b):: C = 1 +10510 ns P1(a&&b):: C = 0 +10510 ns P2(a&&b):: C = 1 +10512 ns P1(a||b):: C = 1 +10512 ns P2(a||b):: C = 0 +10516 ns P1(a&&b):: C = 1 +10516 ns P2(a&&b):: C = 0 +10518 ns P1(a||b):: C = 1 +10518 ns P2(a||b):: C = 0 +10522 ns P1(a&&b):: C = 0 +10522 ns P2(a&&b):: C = 1 +10524 ns P1(a||b):: C = 1 +10524 ns P2(a||b):: C = 0 +10528 ns P1(a&&b):: C = 1 +10528 ns P2(a&&b):: C = 0 +10530 ns P1(a||b):: C = 0 +10530 ns P2(a||b):: C = 1 +10534 ns P1(a&&b):: C = 0 +10534 ns P2(a&&b):: C = 1 +10536 ns P1(a||b):: C = 1 +10536 ns P2(a||b):: C = 0 +10540 ns P1(a&&b):: C = 0 +10540 ns P2(a&&b):: C = 1 +10542 ns P1(a||b):: C = 0 +10542 ns P2(a||b):: C = 1 +10546 ns P1(a&&b):: C = 1 +10546 ns P2(a&&b):: C = 0 +10548 ns P1(a||b):: C = 1 +10548 ns P2(a||b):: C = 0 +10552 ns P1(a&&b):: C = 0 +10552 ns P2(a&&b):: C = 1 +10554 ns P1(a||b):: C = 0 +10554 ns P2(a||b):: C = 1 +10558 ns P1(a&&b):: C = 0 +10558 ns P2(a&&b):: C = 1 +10560 ns P1(a||b):: C = 0 +10560 ns P2(a||b):: C = 1 +10564 ns P1(a&&b):: C = 1 +10564 ns P2(a&&b):: C = 0 +10566 ns P1(a||b):: C = 0 +10566 ns P2(a||b):: C = 1 +10570 ns P1(a&&b):: C = 0 +10570 ns P2(a&&b):: C = 1 +10572 ns P1(a||b):: C = 1 +10572 ns P2(a||b):: C = 0 +10576 ns P1(a&&b):: C = 1 +10576 ns P2(a&&b):: C = 0 +10578 ns P1(a||b):: C = 1 +10578 ns P2(a||b):: C = 0 +10582 ns P1(a&&b):: C = 0 +10582 ns P2(a&&b):: C = 1 +10584 ns P1(a||b):: C = 0 +10584 ns P2(a||b):: C = 1 +10588 ns P1(a&&b):: C = 0 +10588 ns P2(a&&b):: C = 1 +10590 ns P1(a||b):: C = 1 +10590 ns P2(a||b):: C = 0 +10594 ns P1(a&&b):: C = 1 +10594 ns P2(a&&b):: C = 0 +10596 ns P1(a||b):: C = 0 +10596 ns P2(a||b):: C = 1 +10600 ns P1(a&&b):: C = 1 +10600 ns P2(a&&b):: C = 0 +10602 ns P1(a||b):: C = 1 +10602 ns P2(a||b):: C = 0 +10606 ns P1(a&&b):: C = 1 +10606 ns P2(a&&b):: C = 0 +10608 ns P1(a||b):: C = 1 +10608 ns P2(a||b):: C = 0 +10612 ns P1(a&&b):: C = 0 +10612 ns P2(a&&b):: C = 1 +10614 ns P1(a||b):: C = 1 +10614 ns P2(a||b):: C = 0 +10618 ns P1(a&&b):: C = 0 +10618 ns P2(a&&b):: C = 1 +10620 ns P1(a||b):: C = 1 +10620 ns P2(a||b):: C = 0 +10624 ns P1(a&&b):: C = 0 +10624 ns P2(a&&b):: C = 1 +10626 ns P1(a||b):: C = 0 +10626 ns P2(a||b):: C = 1 +10630 ns P1(a&&b):: C = 1 +10630 ns P2(a&&b):: C = 0 +10632 ns P1(a||b):: C = 1 +10632 ns P2(a||b):: C = 0 +10636 ns P1(a&&b):: C = 0 +10636 ns P2(a&&b):: C = 1 +10638 ns P1(a||b):: C = 1 +10638 ns P2(a||b):: C = 0 +10642 ns P1(a&&b):: C = 0 +10642 ns P2(a&&b):: C = 1 +10644 ns P1(a||b):: C = 1 +10644 ns P2(a||b):: C = 0 +10648 ns P1(a&&b):: C = 1 +10648 ns P2(a&&b):: C = 0 +10650 ns P1(a||b):: C = 1 +10650 ns P2(a||b):: C = 0 +10654 ns P1(a&&b):: C = 1 +10654 ns P2(a&&b):: C = 0 +10656 ns P1(a||b):: C = 1 +10656 ns P2(a||b):: C = 0 +10660 ns P1(a&&b):: C = 1 +10660 ns P2(a&&b):: C = 0 +10662 ns P1(a||b):: C = 0 +10662 ns P2(a||b):: C = 1 +10666 ns P1(a&&b):: C = 0 +10666 ns P2(a&&b):: C = 1 +10668 ns P1(a||b):: C = 1 +10668 ns P2(a||b):: C = 0 +10672 ns P1(a&&b):: C = 0 +10672 ns P2(a&&b):: C = 1 +10674 ns P1(a||b):: C = 1 +10674 ns P2(a||b):: C = 0 +10678 ns P1(a&&b):: C = 0 +10678 ns P2(a&&b):: C = 1 +10680 ns P1(a||b):: C = 0 +10680 ns P2(a||b):: C = 1 +10684 ns P1(a&&b):: C = 0 +10684 ns P2(a&&b):: C = 1 +10686 ns P1(a||b):: C = 0 +10686 ns P2(a||b):: C = 1 +10690 ns P1(a&&b):: C = 0 +10690 ns P2(a&&b):: C = 1 +10692 ns P1(a||b):: C = 1 +10692 ns P2(a||b):: C = 0 +10696 ns P1(a&&b):: C = 0 +10696 ns P2(a&&b):: C = 1 +10698 ns P1(a||b):: C = 1 +10698 ns P2(a||b):: C = 0 +10702 ns P1(a&&b):: C = 0 +10702 ns P2(a&&b):: C = 1 +10704 ns P1(a||b):: C = 0 +10704 ns P2(a||b):: C = 1 +10708 ns P1(a&&b):: C = 0 +10708 ns P2(a&&b):: C = 1 +10710 ns P1(a||b):: C = 1 +10710 ns P2(a||b):: C = 0 +10714 ns P1(a&&b):: C = 0 +10714 ns P2(a&&b):: C = 1 +10716 ns P1(a||b):: C = 1 +10716 ns P2(a||b):: C = 0 +10720 ns P1(a&&b):: C = 1 +10720 ns P2(a&&b):: C = 0 +10722 ns P1(a||b):: C = 0 +10722 ns P2(a||b):: C = 1 +10726 ns P1(a&&b):: C = 0 +10726 ns P2(a&&b):: C = 1 +10728 ns P1(a||b):: C = 1 +10728 ns P2(a||b):: C = 0 +10732 ns P1(a&&b):: C = 1 +10732 ns P2(a&&b):: C = 0 +10734 ns P1(a||b):: C = 1 +10734 ns P2(a||b):: C = 0 +10738 ns P1(a&&b):: C = 0 +10738 ns P2(a&&b):: C = 1 +10740 ns P1(a||b):: C = 1 +10740 ns P2(a||b):: C = 0 +10744 ns P1(a&&b):: C = 0 +10744 ns P2(a&&b):: C = 1 +10746 ns P1(a||b):: C = 0 +10746 ns P2(a||b):: C = 1 +10750 ns P1(a&&b):: C = 0 +10750 ns P2(a&&b):: C = 1 +10752 ns P1(a||b):: C = 1 +10752 ns P2(a||b):: C = 0 +10756 ns P1(a&&b):: C = 1 +10756 ns P2(a&&b):: C = 0 +10758 ns P1(a||b):: C = 1 +10758 ns P2(a||b):: C = 0 +10762 ns P1(a&&b):: C = 1 +10762 ns P2(a&&b):: C = 0 +10764 ns P1(a||b):: C = 0 +10764 ns P2(a||b):: C = 1 +10768 ns P1(a&&b):: C = 0 +10768 ns P2(a&&b):: C = 1 +10770 ns P1(a||b):: C = 1 +10770 ns P2(a||b):: C = 0 +10774 ns P1(a&&b):: C = 0 +10774 ns P2(a&&b):: C = 1 +10776 ns P1(a||b):: C = 0 +10776 ns P2(a||b):: C = 1 +10780 ns P1(a&&b):: C = 0 +10780 ns P2(a&&b):: C = 1 +10782 ns P1(a||b):: C = 1 +10782 ns P2(a||b):: C = 0 +10786 ns P1(a&&b):: C = 0 +10786 ns P2(a&&b):: C = 1 +10788 ns P1(a||b):: C = 1 +10788 ns P2(a||b):: C = 0 +10792 ns P1(a&&b):: C = 0 +10792 ns P2(a&&b):: C = 1 +10794 ns P1(a||b):: C = 1 +10794 ns P2(a||b):: C = 0 +10798 ns P1(a&&b):: C = 0 +10798 ns P2(a&&b):: C = 1 +10800 ns P1(a||b):: C = 1 +10800 ns P2(a||b):: C = 0 +10804 ns P1(a&&b):: C = 0 +10804 ns P2(a&&b):: C = 1 +10806 ns P1(a||b):: C = 1 +10806 ns P2(a||b):: C = 0 +10810 ns P1(a&&b):: C = 1 +10810 ns P2(a&&b):: C = 0 +10812 ns P1(a||b):: C = 1 +10812 ns P2(a||b):: C = 0 +10816 ns P1(a&&b):: C = 0 +10816 ns P2(a&&b):: C = 1 +10818 ns P1(a||b):: C = 1 +10818 ns P2(a||b):: C = 0 +10822 ns P1(a&&b):: C = 0 +10822 ns P2(a&&b):: C = 1 +10824 ns P1(a||b):: C = 1 +10824 ns P2(a||b):: C = 0 +10828 ns P1(a&&b):: C = 1 +10828 ns P2(a&&b):: C = 0 +10830 ns P1(a||b):: C = 0 +10830 ns P2(a||b):: C = 1 +10834 ns P1(a&&b):: C = 1 +10834 ns P2(a&&b):: C = 0 +10836 ns P1(a||b):: C = 1 +10836 ns P2(a||b):: C = 0 +10840 ns P1(a&&b):: C = 0 +10840 ns P2(a&&b):: C = 1 +10842 ns P1(a||b):: C = 1 +10842 ns P2(a||b):: C = 0 +10846 ns P1(a&&b):: C = 0 +10846 ns P2(a&&b):: C = 1 +10848 ns P1(a||b):: C = 1 +10848 ns P2(a||b):: C = 0 +10852 ns P1(a&&b):: C = 0 +10852 ns P2(a&&b):: C = 1 +10854 ns P1(a||b):: C = 1 +10854 ns P2(a||b):: C = 0 +10858 ns P1(a&&b):: C = 1 +10858 ns P2(a&&b):: C = 0 +10860 ns P1(a||b):: C = 1 +10860 ns P2(a||b):: C = 0 +10864 ns P1(a&&b):: C = 1 +10864 ns P2(a&&b):: C = 0 +10866 ns P1(a||b):: C = 1 +10866 ns P2(a||b):: C = 0 +10870 ns P1(a&&b):: C = 0 +10870 ns P2(a&&b):: C = 1 +10872 ns P1(a||b):: C = 1 +10872 ns P2(a||b):: C = 0 +10876 ns P1(a&&b):: C = 0 +10876 ns P2(a&&b):: C = 1 +10878 ns P1(a||b):: C = 1 +10878 ns P2(a||b):: C = 0 +10882 ns P1(a&&b):: C = 1 +10882 ns P2(a&&b):: C = 0 +10884 ns P1(a||b):: C = 1 +10884 ns P2(a||b):: C = 0 +10888 ns P1(a&&b):: C = 0 +10888 ns P2(a&&b):: C = 1 +10890 ns P1(a||b):: C = 1 +10890 ns P2(a||b):: C = 0 +10894 ns P1(a&&b):: C = 0 +10894 ns P2(a&&b):: C = 1 +10896 ns P1(a||b):: C = 1 +10896 ns P2(a||b):: C = 0 +10900 ns P1(a&&b):: C = 1 +10900 ns P2(a&&b):: C = 0 +10902 ns P1(a||b):: C = 1 +10902 ns P2(a||b):: C = 0 +10906 ns P1(a&&b):: C = 1 +10906 ns P2(a&&b):: C = 0 +10908 ns P1(a||b):: C = 1 +10908 ns P2(a||b):: C = 0 +10912 ns P1(a&&b):: C = 0 +10912 ns P2(a&&b):: C = 1 +10914 ns P1(a||b):: C = 1 +10914 ns P2(a||b):: C = 0 +10918 ns P1(a&&b):: C = 1 +10918 ns P2(a&&b):: C = 0 +10920 ns P1(a||b):: C = 1 +10920 ns P2(a||b):: C = 0 +10924 ns P1(a&&b):: C = 0 +10924 ns P2(a&&b):: C = 1 +10926 ns P1(a||b):: C = 1 +10926 ns P2(a||b):: C = 0 +10930 ns P1(a&&b):: C = 1 +10930 ns P2(a&&b):: C = 0 +10932 ns P1(a||b):: C = 1 +10932 ns P2(a||b):: C = 0 +10936 ns P1(a&&b):: C = 0 +10936 ns P2(a&&b):: C = 1 +10938 ns P1(a||b):: C = 1 +10938 ns P2(a||b):: C = 0 +10942 ns P1(a&&b):: C = 0 +10942 ns P2(a&&b):: C = 1 +10944 ns P1(a||b):: C = 1 +10944 ns P2(a||b):: C = 0 +10948 ns P1(a&&b):: C = 1 +10948 ns P2(a&&b):: C = 0 +10950 ns P1(a||b):: C = 1 +10950 ns P2(a||b):: C = 0 +10954 ns P1(a&&b):: C = 0 +10954 ns P2(a&&b):: C = 1 +10956 ns P1(a||b):: C = 1 +10956 ns P2(a||b):: C = 0 +10960 ns P1(a&&b):: C = 0 +10960 ns P2(a&&b):: C = 1 +10962 ns P1(a||b):: C = 1 +10962 ns P2(a||b):: C = 0 +10966 ns P1(a&&b):: C = 0 +10966 ns P2(a&&b):: C = 1 +10968 ns P1(a||b):: C = 1 +10968 ns P2(a||b):: C = 0 +10972 ns P1(a&&b):: C = 1 +10972 ns P2(a&&b):: C = 0 +10974 ns P1(a||b):: C = 1 +10974 ns P2(a||b):: C = 0 +10978 ns P1(a&&b):: C = 1 +10978 ns P2(a&&b):: C = 0 +10980 ns P1(a||b):: C = 1 +10980 ns P2(a||b):: C = 0 +10984 ns P1(a&&b):: C = 0 +10984 ns P2(a&&b):: C = 1 +10986 ns P1(a||b):: C = 1 +10986 ns P2(a||b):: C = 0 +10990 ns P1(a&&b):: C = 0 +10990 ns P2(a&&b):: C = 1 +10992 ns P1(a||b):: C = 1 +10992 ns P2(a||b):: C = 0 +10996 ns P1(a&&b):: C = 0 +10996 ns P2(a&&b):: C = 1 +10998 ns P1(a||b):: C = 1 +10998 ns P2(a||b):: C = 0 +11002 ns P1(a&&b):: C = 0 +11002 ns P2(a&&b):: C = 1 +11004 ns P1(a||b):: C = 0 +11004 ns P2(a||b):: C = 1 +11008 ns P1(a&&b):: C = 1 +11008 ns P2(a&&b):: C = 0 +11010 ns P1(a||b):: C = 1 +11010 ns P2(a||b):: C = 0 +11014 ns P1(a&&b):: C = 0 +11014 ns P2(a&&b):: C = 1 +11016 ns P1(a||b):: C = 1 +11016 ns P2(a||b):: C = 0 +11020 ns P1(a&&b):: C = 0 +11020 ns P2(a&&b):: C = 1 +11022 ns P1(a||b):: C = 0 +11022 ns P2(a||b):: C = 1 +11026 ns P1(a&&b):: C = 0 +11026 ns P2(a&&b):: C = 1 +11028 ns P1(a||b):: C = 1 +11028 ns P2(a||b):: C = 0 +11032 ns P1(a&&b):: C = 1 +11032 ns P2(a&&b):: C = 0 +11034 ns P1(a||b):: C = 1 +11034 ns P2(a||b):: C = 0 +11038 ns P1(a&&b):: C = 0 +11038 ns P2(a&&b):: C = 1 +11040 ns P1(a||b):: C = 1 +11040 ns P2(a||b):: C = 0 +11044 ns P1(a&&b):: C = 1 +11044 ns P2(a&&b):: C = 0 +11046 ns P1(a||b):: C = 1 +11046 ns P2(a||b):: C = 0 +11050 ns P1(a&&b):: C = 0 +11050 ns P2(a&&b):: C = 1 +11052 ns P1(a||b):: C = 1 +11052 ns P2(a||b):: C = 0 +11056 ns P1(a&&b):: C = 0 +11056 ns P2(a&&b):: C = 1 +11058 ns P1(a||b):: C = 1 +11058 ns P2(a||b):: C = 0 +11062 ns P1(a&&b):: C = 1 +11062 ns P2(a&&b):: C = 0 +11064 ns P1(a||b):: C = 1 +11064 ns P2(a||b):: C = 0 +11068 ns P1(a&&b):: C = 0 +11068 ns P2(a&&b):: C = 1 +11070 ns P1(a||b):: C = 1 +11070 ns P2(a||b):: C = 0 +11074 ns P1(a&&b):: C = 0 +11074 ns P2(a&&b):: C = 1 +11076 ns P1(a||b):: C = 1 +11076 ns P2(a||b):: C = 0 +11080 ns P1(a&&b):: C = 0 +11080 ns P2(a&&b):: C = 1 +11082 ns P1(a||b):: C = 1 +11082 ns P2(a||b):: C = 0 +11086 ns P1(a&&b):: C = 0 +11086 ns P2(a&&b):: C = 1 +11088 ns P1(a||b):: C = 1 +11088 ns P2(a||b):: C = 0 +11092 ns P1(a&&b):: C = 0 +11092 ns P2(a&&b):: C = 1 +11094 ns P1(a||b):: C = 1 +11094 ns P2(a||b):: C = 0 +11098 ns P1(a&&b):: C = 1 +11098 ns P2(a&&b):: C = 0 +11100 ns P1(a||b):: C = 1 +11100 ns P2(a||b):: C = 0 +11104 ns P1(a&&b):: C = 1 +11104 ns P2(a&&b):: C = 0 +11106 ns P1(a||b):: C = 0 +11106 ns P2(a||b):: C = 1 +11110 ns P1(a&&b):: C = 1 +11110 ns P2(a&&b):: C = 0 +11112 ns P1(a||b):: C = 0 +11112 ns P2(a||b):: C = 1 +11116 ns P1(a&&b):: C = 0 +11116 ns P2(a&&b):: C = 1 +11118 ns P1(a||b):: C = 1 +11118 ns P2(a||b):: C = 0 +11122 ns P1(a&&b):: C = 1 +11122 ns P2(a&&b):: C = 0 +11124 ns P1(a||b):: C = 0 +11124 ns P2(a||b):: C = 1 +11128 ns P1(a&&b):: C = 0 +11128 ns P2(a&&b):: C = 1 +11130 ns P1(a||b):: C = 1 +11130 ns P2(a||b):: C = 0 +11134 ns P1(a&&b):: C = 0 +11134 ns P2(a&&b):: C = 1 +11136 ns P1(a||b):: C = 1 +11136 ns P2(a||b):: C = 0 +11140 ns P1(a&&b):: C = 1 +11140 ns P2(a&&b):: C = 0 +11142 ns P1(a||b):: C = 1 +11142 ns P2(a||b):: C = 0 +11146 ns P1(a&&b):: C = 0 +11146 ns P2(a&&b):: C = 1 +11148 ns P1(a||b):: C = 1 +11148 ns P2(a||b):: C = 0 +11152 ns P1(a&&b):: C = 0 +11152 ns P2(a&&b):: C = 1 +11154 ns P1(a||b):: C = 1 +11154 ns P2(a||b):: C = 0 +11158 ns P1(a&&b):: C = 1 +11158 ns P2(a&&b):: C = 0 +11160 ns P1(a||b):: C = 0 +11160 ns P2(a||b):: C = 1 +11164 ns P1(a&&b):: C = 0 +11164 ns P2(a&&b):: C = 1 +11166 ns P1(a||b):: C = 1 +11166 ns P2(a||b):: C = 0 +11170 ns P1(a&&b):: C = 0 +11170 ns P2(a&&b):: C = 1 +11172 ns P1(a||b):: C = 0 +11172 ns P2(a||b):: C = 1 +11176 ns P1(a&&b):: C = 0 +11176 ns P2(a&&b):: C = 1 +11178 ns P1(a||b):: C = 0 +11178 ns P2(a||b):: C = 1 +11182 ns P1(a&&b):: C = 1 +11182 ns P2(a&&b):: C = 0 +11184 ns P1(a||b):: C = 1 +11184 ns P2(a||b):: C = 0 +11188 ns P1(a&&b):: C = 1 +11188 ns P2(a&&b):: C = 0 +11190 ns P1(a||b):: C = 0 +11190 ns P2(a||b):: C = 1 +11194 ns P1(a&&b):: C = 1 +11194 ns P2(a&&b):: C = 0 +11196 ns P1(a||b):: C = 1 +11196 ns P2(a||b):: C = 0 +11200 ns P1(a&&b):: C = 0 +11200 ns P2(a&&b):: C = 1 +11202 ns P1(a||b):: C = 1 +11202 ns P2(a||b):: C = 0 +11206 ns P1(a&&b):: C = 0 +11206 ns P2(a&&b):: C = 1 +11208 ns P1(a||b):: C = 1 +11208 ns P2(a||b):: C = 0 +11212 ns P1(a&&b):: C = 1 +11212 ns P2(a&&b):: C = 0 +11214 ns P1(a||b):: C = 1 +11214 ns P2(a||b):: C = 0 +11218 ns P1(a&&b):: C = 0 +11218 ns P2(a&&b):: C = 1 +11220 ns P1(a||b):: C = 0 +11220 ns P2(a||b):: C = 1 +11224 ns P1(a&&b):: C = 0 +11224 ns P2(a&&b):: C = 1 +11226 ns P1(a||b):: C = 1 +11226 ns P2(a||b):: C = 0 +11230 ns P1(a&&b):: C = 0 +11230 ns P2(a&&b):: C = 1 +11232 ns P1(a||b):: C = 1 +11232 ns P2(a||b):: C = 0 +11236 ns P1(a&&b):: C = 0 +11236 ns P2(a&&b):: C = 1 +11238 ns P1(a||b):: C = 0 +11238 ns P2(a||b):: C = 1 +11242 ns P1(a&&b):: C = 0 +11242 ns P2(a&&b):: C = 1 +11244 ns P1(a||b):: C = 1 +11244 ns P2(a||b):: C = 0 +11248 ns P1(a&&b):: C = 0 +11248 ns P2(a&&b):: C = 1 +11250 ns P1(a||b):: C = 0 +11250 ns P2(a||b):: C = 1 +11254 ns P1(a&&b):: C = 0 +11254 ns P2(a&&b):: C = 1 +11256 ns P1(a||b):: C = 1 +11256 ns P2(a||b):: C = 0 +11260 ns P1(a&&b):: C = 1 +11260 ns P2(a&&b):: C = 0 +11262 ns P1(a||b):: C = 1 +11262 ns P2(a||b):: C = 0 +11266 ns P1(a&&b):: C = 0 +11266 ns P2(a&&b):: C = 1 +11268 ns P1(a||b):: C = 1 +11268 ns P2(a||b):: C = 0 +11272 ns P1(a&&b):: C = 1 +11272 ns P2(a&&b):: C = 0 +11274 ns P1(a||b):: C = 1 +11274 ns P2(a||b):: C = 0 +11278 ns P1(a&&b):: C = 0 +11278 ns P2(a&&b):: C = 1 +11280 ns P1(a||b):: C = 0 +11280 ns P2(a||b):: C = 1 +11284 ns P1(a&&b):: C = 1 +11284 ns P2(a&&b):: C = 0 +11286 ns P1(a||b):: C = 1 +11286 ns P2(a||b):: C = 0 +11290 ns P1(a&&b):: C = 0 +11290 ns P2(a&&b):: C = 1 +11292 ns P1(a||b):: C = 0 +11292 ns P2(a||b):: C = 1 +11296 ns P1(a&&b):: C = 0 +11296 ns P2(a&&b):: C = 1 +11298 ns P1(a||b):: C = 1 +11298 ns P2(a||b):: C = 0 +11302 ns P1(a&&b):: C = 0 +11302 ns P2(a&&b):: C = 1 +11304 ns P1(a||b):: C = 1 +11304 ns P2(a||b):: C = 0 +11308 ns P1(a&&b):: C = 1 +11308 ns P2(a&&b):: C = 0 +11310 ns P1(a||b):: C = 1 +11310 ns P2(a||b):: C = 0 +11314 ns P1(a&&b):: C = 0 +11314 ns P2(a&&b):: C = 1 +11316 ns P1(a||b):: C = 1 +11316 ns P2(a||b):: C = 0 +11320 ns P1(a&&b):: C = 0 +11320 ns P2(a&&b):: C = 1 +11322 ns P1(a||b):: C = 1 +11322 ns P2(a||b):: C = 0 +11326 ns P1(a&&b):: C = 1 +11326 ns P2(a&&b):: C = 0 +11328 ns P1(a||b):: C = 1 +11328 ns P2(a||b):: C = 0 +11332 ns P1(a&&b):: C = 0 +11332 ns P2(a&&b):: C = 1 +11334 ns P1(a||b):: C = 0 +11334 ns P2(a||b):: C = 1 +11338 ns P1(a&&b):: C = 1 +11338 ns P2(a&&b):: C = 0 +11340 ns P1(a||b):: C = 0 +11340 ns P2(a||b):: C = 1 +11344 ns P1(a&&b):: C = 0 +11344 ns P2(a&&b):: C = 1 +11346 ns P1(a||b):: C = 0 +11346 ns P2(a||b):: C = 1 +11350 ns P1(a&&b):: C = 1 +11350 ns P2(a&&b):: C = 0 +11352 ns P1(a||b):: C = 1 +11352 ns P2(a||b):: C = 0 +11356 ns P1(a&&b):: C = 0 +11356 ns P2(a&&b):: C = 1 +11358 ns P1(a||b):: C = 0 +11358 ns P2(a||b):: C = 1 +11362 ns P1(a&&b):: C = 0 +11362 ns P2(a&&b):: C = 1 +11364 ns P1(a||b):: C = 1 +11364 ns P2(a||b):: C = 0 +11368 ns P1(a&&b):: C = 0 +11368 ns P2(a&&b):: C = 1 +11370 ns P1(a||b):: C = 1 +11370 ns P2(a||b):: C = 0 +11374 ns P1(a&&b):: C = 0 +11374 ns P2(a&&b):: C = 1 +11376 ns P1(a||b):: C = 1 +11376 ns P2(a||b):: C = 0 +11380 ns P1(a&&b):: C = 0 +11380 ns P2(a&&b):: C = 1 +11382 ns P1(a||b):: C = 1 +11382 ns P2(a||b):: C = 0 +11386 ns P1(a&&b):: C = 0 +11386 ns P2(a&&b):: C = 1 +11388 ns P1(a||b):: C = 1 +11388 ns P2(a||b):: C = 0 +11392 ns P1(a&&b):: C = 1 +11392 ns P2(a&&b):: C = 0 +11394 ns P1(a||b):: C = 1 +11394 ns P2(a||b):: C = 0 +11398 ns P1(a&&b):: C = 1 +11398 ns P2(a&&b):: C = 0 +11400 ns P1(a||b):: C = 0 +11400 ns P2(a||b):: C = 1 +11404 ns P1(a&&b):: C = 0 +11404 ns P2(a&&b):: C = 1 +11406 ns P1(a||b):: C = 1 +11406 ns P2(a||b):: C = 0 +11410 ns P1(a&&b):: C = 0 +11410 ns P2(a&&b):: C = 1 +11412 ns P1(a||b):: C = 0 +11412 ns P2(a||b):: C = 1 +11416 ns P1(a&&b):: C = 0 +11416 ns P2(a&&b):: C = 1 +11418 ns P1(a||b):: C = 1 +11418 ns P2(a||b):: C = 0 +11422 ns P1(a&&b):: C = 0 +11422 ns P2(a&&b):: C = 1 +11424 ns P1(a||b):: C = 1 +11424 ns P2(a||b):: C = 0 +11428 ns P1(a&&b):: C = 0 +11428 ns P2(a&&b):: C = 1 +11430 ns P1(a||b):: C = 1 +11430 ns P2(a||b):: C = 0 +11434 ns P1(a&&b):: C = 0 +11434 ns P2(a&&b):: C = 1 +11436 ns P1(a||b):: C = 1 +11436 ns P2(a||b):: C = 0 +11440 ns P1(a&&b):: C = 0 +11440 ns P2(a&&b):: C = 1 +11442 ns P1(a||b):: C = 0 +11442 ns P2(a||b):: C = 1 +11446 ns P1(a&&b):: C = 0 +11446 ns P2(a&&b):: C = 1 +11448 ns P1(a||b):: C = 1 +11448 ns P2(a||b):: C = 0 +11452 ns P1(a&&b):: C = 0 +11452 ns P2(a&&b):: C = 1 +11454 ns P1(a||b):: C = 1 +11454 ns P2(a||b):: C = 0 +11458 ns P1(a&&b):: C = 0 +11458 ns P2(a&&b):: C = 1 +11460 ns P1(a||b):: C = 1 +11460 ns P2(a||b):: C = 0 +11464 ns P1(a&&b):: C = 0 +11464 ns P2(a&&b):: C = 1 +11466 ns P1(a||b):: C = 1 +11466 ns P2(a||b):: C = 0 +11470 ns P1(a&&b):: C = 0 +11470 ns P2(a&&b):: C = 1 +11472 ns P1(a||b):: C = 0 +11472 ns P2(a||b):: C = 1 +11476 ns P1(a&&b):: C = 0 +11476 ns P2(a&&b):: C = 1 +11478 ns P1(a||b):: C = 1 +11478 ns P2(a||b):: C = 0 +11482 ns P1(a&&b):: C = 1 +11482 ns P2(a&&b):: C = 0 +11484 ns P1(a||b):: C = 1 +11484 ns P2(a||b):: C = 0 +11488 ns P1(a&&b):: C = 0 +11488 ns P2(a&&b):: C = 1 +11490 ns P1(a||b):: C = 1 +11490 ns P2(a||b):: C = 0 +11494 ns P1(a&&b):: C = 0 +11494 ns P2(a&&b):: C = 1 +11496 ns P1(a||b):: C = 1 +11496 ns P2(a||b):: C = 0 +11500 ns P1(a&&b):: C = 0 +11500 ns P2(a&&b):: C = 1 +11502 ns P1(a||b):: C = 0 +11502 ns P2(a||b):: C = 1 +11506 ns P1(a&&b):: C = 1 +11506 ns P2(a&&b):: C = 0 +11508 ns P1(a||b):: C = 0 +11508 ns P2(a||b):: C = 1 +11512 ns P1(a&&b):: C = 0 +11512 ns P2(a&&b):: C = 1 +11514 ns P1(a||b):: C = 1 +11514 ns P2(a||b):: C = 0 +11518 ns P1(a&&b):: C = 1 +11518 ns P2(a&&b):: C = 0 +11520 ns P1(a||b):: C = 1 +11520 ns P2(a||b):: C = 0 +11524 ns P1(a&&b):: C = 0 +11524 ns P2(a&&b):: C = 1 +11526 ns P1(a||b):: C = 1 +11526 ns P2(a||b):: C = 0 +11530 ns P1(a&&b):: C = 1 +11530 ns P2(a&&b):: C = 0 +11532 ns P1(a||b):: C = 1 +11532 ns P2(a||b):: C = 0 +11536 ns P1(a&&b):: C = 1 +11536 ns P2(a&&b):: C = 0 +11538 ns P1(a||b):: C = 1 +11538 ns P2(a||b):: C = 0 +11542 ns P1(a&&b):: C = 0 +11542 ns P2(a&&b):: C = 1 +11544 ns P1(a||b):: C = 1 +11544 ns P2(a||b):: C = 0 +11548 ns P1(a&&b):: C = 1 +11548 ns P2(a&&b):: C = 0 +11550 ns P1(a||b):: C = 0 +11550 ns P2(a||b):: C = 1 +11554 ns P1(a&&b):: C = 0 +11554 ns P2(a&&b):: C = 1 +11556 ns P1(a||b):: C = 0 +11556 ns P2(a||b):: C = 1 +11560 ns P1(a&&b):: C = 1 +11560 ns P2(a&&b):: C = 0 +11562 ns P1(a||b):: C = 1 +11562 ns P2(a||b):: C = 0 +11566 ns P1(a&&b):: C = 0 +11566 ns P2(a&&b):: C = 1 +11568 ns P1(a||b):: C = 1 +11568 ns P2(a||b):: C = 0 +11572 ns P1(a&&b):: C = 0 +11572 ns P2(a&&b):: C = 1 +11574 ns P1(a||b):: C = 0 +11574 ns P2(a||b):: C = 1 +11578 ns P1(a&&b):: C = 0 +11578 ns P2(a&&b):: C = 1 +11580 ns P1(a||b):: C = 1 +11580 ns P2(a||b):: C = 0 +11584 ns P1(a&&b):: C = 0 +11584 ns P2(a&&b):: C = 1 +11586 ns P1(a||b):: C = 0 +11586 ns P2(a||b):: C = 1 +11590 ns P1(a&&b):: C = 1 +11590 ns P2(a&&b):: C = 0 +11592 ns P1(a||b):: C = 1 +11592 ns P2(a||b):: C = 0 +11596 ns P1(a&&b):: C = 1 +11596 ns P2(a&&b):: C = 0 +11598 ns P1(a||b):: C = 1 +11598 ns P2(a||b):: C = 0 +11602 ns P1(a&&b):: C = 0 +11602 ns P2(a&&b):: C = 1 +11604 ns P1(a||b):: C = 1 +11604 ns P2(a||b):: C = 0 +11608 ns P1(a&&b):: C = 0 +11608 ns P2(a&&b):: C = 1 +11610 ns P1(a||b):: C = 1 +11610 ns P2(a||b):: C = 0 +11614 ns P1(a&&b):: C = 1 +11614 ns P2(a&&b):: C = 0 +11616 ns P1(a||b):: C = 1 +11616 ns P2(a||b):: C = 0 +11620 ns P1(a&&b):: C = 0 +11620 ns P2(a&&b):: C = 1 +11622 ns P1(a||b):: C = 1 +11622 ns P2(a||b):: C = 0 +11626 ns P1(a&&b):: C = 0 +11626 ns P2(a&&b):: C = 1 +11628 ns P1(a||b):: C = 0 +11628 ns P2(a||b):: C = 1 +11632 ns P1(a&&b):: C = 0 +11632 ns P2(a&&b):: C = 1 +11634 ns P1(a||b):: C = 1 +11634 ns P2(a||b):: C = 0 +11638 ns P1(a&&b):: C = 1 +11638 ns P2(a&&b):: C = 0 +11640 ns P1(a||b):: C = 0 +11640 ns P2(a||b):: C = 1 +11644 ns P1(a&&b):: C = 0 +11644 ns P2(a&&b):: C = 1 +11646 ns P1(a||b):: C = 1 +11646 ns P2(a||b):: C = 0 +11650 ns P1(a&&b):: C = 0 +11650 ns P2(a&&b):: C = 1 +11652 ns P1(a||b):: C = 0 +11652 ns P2(a||b):: C = 1 +11656 ns P1(a&&b):: C = 1 +11656 ns P2(a&&b):: C = 0 +11658 ns P1(a||b):: C = 1 +11658 ns P2(a||b):: C = 0 +11662 ns P1(a&&b):: C = 0 +11662 ns P2(a&&b):: C = 1 +11664 ns P1(a||b):: C = 0 +11664 ns P2(a||b):: C = 1 +11668 ns P1(a&&b):: C = 0 +11668 ns P2(a&&b):: C = 1 +11670 ns P1(a||b):: C = 0 +11670 ns P2(a||b):: C = 1 +11674 ns P1(a&&b):: C = 0 +11674 ns P2(a&&b):: C = 1 +11676 ns P1(a||b):: C = 1 +11676 ns P2(a||b):: C = 0 +11680 ns P1(a&&b):: C = 0 +11680 ns P2(a&&b):: C = 1 +11682 ns P1(a||b):: C = 1 +11682 ns P2(a||b):: C = 0 +11686 ns P1(a&&b):: C = 0 +11686 ns P2(a&&b):: C = 1 +11688 ns P1(a||b):: C = 0 +11688 ns P2(a||b):: C = 1 +11692 ns P1(a&&b):: C = 0 +11692 ns P2(a&&b):: C = 1 +11694 ns P1(a||b):: C = 1 +11694 ns P2(a||b):: C = 0 +11698 ns P1(a&&b):: C = 0 +11698 ns P2(a&&b):: C = 1 +11700 ns P1(a||b):: C = 1 +11700 ns P2(a||b):: C = 0 +11704 ns P1(a&&b):: C = 0 +11704 ns P2(a&&b):: C = 1 +11706 ns P1(a||b):: C = 1 +11706 ns P2(a||b):: C = 0 +11710 ns P1(a&&b):: C = 0 +11710 ns P2(a&&b):: C = 1 +11712 ns P1(a||b):: C = 1 +11712 ns P2(a||b):: C = 0 +11716 ns P1(a&&b):: C = 1 +11716 ns P2(a&&b):: C = 0 +11718 ns P1(a||b):: C = 1 +11718 ns P2(a||b):: C = 0 +11722 ns P1(a&&b):: C = 0 +11722 ns P2(a&&b):: C = 1 +11724 ns P1(a||b):: C = 0 +11724 ns P2(a||b):: C = 1 +11728 ns P1(a&&b):: C = 0 +11728 ns P2(a&&b):: C = 1 +11730 ns P1(a||b):: C = 1 +11730 ns P2(a||b):: C = 0 +11734 ns P1(a&&b):: C = 0 +11734 ns P2(a&&b):: C = 1 +11736 ns P1(a||b):: C = 1 +11736 ns P2(a||b):: C = 0 +11740 ns P1(a&&b):: C = 0 +11740 ns P2(a&&b):: C = 1 +11742 ns P1(a||b):: C = 0 +11742 ns P2(a||b):: C = 1 +11746 ns P1(a&&b):: C = 1 +11746 ns P2(a&&b):: C = 0 +11748 ns P1(a||b):: C = 0 +11748 ns P2(a||b):: C = 1 +11752 ns P1(a&&b):: C = 1 +11752 ns P2(a&&b):: C = 0 +11754 ns P1(a||b):: C = 1 +11754 ns P2(a||b):: C = 0 +11758 ns P1(a&&b):: C = 0 +11758 ns P2(a&&b):: C = 1 +11760 ns P1(a||b):: C = 1 +11760 ns P2(a||b):: C = 0 +11764 ns P1(a&&b):: C = 0 +11764 ns P2(a&&b):: C = 1 +11766 ns P1(a||b):: C = 1 +11766 ns P2(a||b):: C = 0 +11770 ns P1(a&&b):: C = 1 +11770 ns P2(a&&b):: C = 0 +11772 ns P1(a||b):: C = 1 +11772 ns P2(a||b):: C = 0 +11776 ns P1(a&&b):: C = 0 +11776 ns P2(a&&b):: C = 1 +11778 ns P1(a||b):: C = 1 +11778 ns P2(a||b):: C = 0 +11782 ns P1(a&&b):: C = 1 +11782 ns P2(a&&b):: C = 0 +11784 ns P1(a||b):: C = 1 +11784 ns P2(a||b):: C = 0 +11788 ns P1(a&&b):: C = 0 +11788 ns P2(a&&b):: C = 1 +11790 ns P1(a||b):: C = 0 +11790 ns P2(a||b):: C = 1 +11794 ns P1(a&&b):: C = 0 +11794 ns P2(a&&b):: C = 1 +11796 ns P1(a||b):: C = 0 +11796 ns P2(a||b):: C = 1 +11800 ns P1(a&&b):: C = 0 +11800 ns P2(a&&b):: C = 1 +11802 ns P1(a||b):: C = 1 +11802 ns P2(a||b):: C = 0 +11806 ns P1(a&&b):: C = 0 +11806 ns P2(a&&b):: C = 1 +11808 ns P1(a||b):: C = 1 +11808 ns P2(a||b):: C = 0 +11812 ns P1(a&&b):: C = 0 +11812 ns P2(a&&b):: C = 1 +11814 ns P1(a||b):: C = 0 +11814 ns P2(a||b):: C = 1 +11818 ns P1(a&&b):: C = 1 +11818 ns P2(a&&b):: C = 0 +11820 ns P1(a||b):: C = 1 +11820 ns P2(a||b):: C = 0 +11824 ns P1(a&&b):: C = 0 +11824 ns P2(a&&b):: C = 1 +11826 ns P1(a||b):: C = 1 +11826 ns P2(a||b):: C = 0 +11830 ns P1(a&&b):: C = 1 +11830 ns P2(a&&b):: C = 0 +11832 ns P1(a||b):: C = 1 +11832 ns P2(a||b):: C = 0 +11836 ns P1(a&&b):: C = 0 +11836 ns P2(a&&b):: C = 1 +11838 ns P1(a||b):: C = 1 +11838 ns P2(a||b):: C = 0 +11842 ns P1(a&&b):: C = 0 +11842 ns P2(a&&b):: C = 1 +11844 ns P1(a||b):: C = 1 +11844 ns P2(a||b):: C = 0 +11848 ns P1(a&&b):: C = 0 +11848 ns P2(a&&b):: C = 1 +11850 ns P1(a||b):: C = 1 +11850 ns P2(a||b):: C = 0 +11854 ns P1(a&&b):: C = 0 +11854 ns P2(a&&b):: C = 1 +11856 ns P1(a||b):: C = 1 +11856 ns P2(a||b):: C = 0 +11860 ns P1(a&&b):: C = 0 +11860 ns P2(a&&b):: C = 1 +11862 ns P1(a||b):: C = 1 +11862 ns P2(a||b):: C = 0 +11866 ns P1(a&&b):: C = 1 +11866 ns P2(a&&b):: C = 0 +11868 ns P1(a||b):: C = 1 +11868 ns P2(a||b):: C = 0 +11872 ns P1(a&&b):: C = 0 +11872 ns P2(a&&b):: C = 1 +11874 ns P1(a||b):: C = 1 +11874 ns P2(a||b):: C = 0 +11878 ns P1(a&&b):: C = 1 +11878 ns P2(a&&b):: C = 0 +11880 ns P1(a||b):: C = 1 +11880 ns P2(a||b):: C = 0 +11884 ns P1(a&&b):: C = 0 +11884 ns P2(a&&b):: C = 1 +11886 ns P1(a||b):: C = 0 +11886 ns P2(a||b):: C = 1 +11890 ns P1(a&&b):: C = 0 +11890 ns P2(a&&b):: C = 1 +11892 ns P1(a||b):: C = 1 +11892 ns P2(a||b):: C = 0 +11896 ns P1(a&&b):: C = 1 +11896 ns P2(a&&b):: C = 0 +11898 ns P1(a||b):: C = 1 +11898 ns P2(a||b):: C = 0 +11902 ns P1(a&&b):: C = 1 +11902 ns P2(a&&b):: C = 0 +11904 ns P1(a||b):: C = 1 +11904 ns P2(a||b):: C = 0 +11908 ns P1(a&&b):: C = 0 +11908 ns P2(a&&b):: C = 1 +11910 ns P1(a||b):: C = 1 +11910 ns P2(a||b):: C = 0 +11914 ns P1(a&&b):: C = 1 +11914 ns P2(a&&b):: C = 0 +11916 ns P1(a||b):: C = 0 +11916 ns P2(a||b):: C = 1 +11920 ns P1(a&&b):: C = 0 +11920 ns P2(a&&b):: C = 1 +11922 ns P1(a||b):: C = 1 +11922 ns P2(a||b):: C = 0 +11926 ns P1(a&&b):: C = 1 +11926 ns P2(a&&b):: C = 0 +11928 ns P1(a||b):: C = 0 +11928 ns P2(a||b):: C = 1 +11932 ns P1(a&&b):: C = 0 +11932 ns P2(a&&b):: C = 1 +11934 ns P1(a||b):: C = 1 +11934 ns P2(a||b):: C = 0 +11938 ns P1(a&&b):: C = 0 +11938 ns P2(a&&b):: C = 1 +11940 ns P1(a||b):: C = 1 +11940 ns P2(a||b):: C = 0 +11944 ns P1(a&&b):: C = 0 +11944 ns P2(a&&b):: C = 1 +11946 ns P1(a||b):: C = 1 +11946 ns P2(a||b):: C = 0 +11950 ns P1(a&&b):: C = 0 +11950 ns P2(a&&b):: C = 1 +11952 ns P1(a||b):: C = 1 +11952 ns P2(a||b):: C = 0 +11956 ns P1(a&&b):: C = 1 +11956 ns P2(a&&b):: C = 0 +11958 ns P1(a||b):: C = 0 +11958 ns P2(a||b):: C = 1 +11962 ns P1(a&&b):: C = 0 +11962 ns P2(a&&b):: C = 1 +11964 ns P1(a||b):: C = 1 +11964 ns P2(a||b):: C = 0 +11968 ns P1(a&&b):: C = 0 +11968 ns P2(a&&b):: C = 1 +11970 ns P1(a||b):: C = 1 +11970 ns P2(a||b):: C = 0 +11974 ns P1(a&&b):: C = 0 +11974 ns P2(a&&b):: C = 1 +11976 ns P1(a||b):: C = 1 +11976 ns P2(a||b):: C = 0 +11980 ns P1(a&&b):: C = 0 +11980 ns P2(a&&b):: C = 1 +11982 ns P1(a||b):: C = 1 +11982 ns P2(a||b):: C = 0 +11986 ns P1(a&&b):: C = 1 +11986 ns P2(a&&b):: C = 0 +11988 ns P1(a||b):: C = 0 +11988 ns P2(a||b):: C = 1 +11992 ns P1(a&&b):: C = 0 +11992 ns P2(a&&b):: C = 1 +11994 ns P1(a||b):: C = 1 +11994 ns P2(a||b):: C = 0 +11998 ns P1(a&&b):: C = 1 +11998 ns P2(a&&b):: C = 0 +12 us P1(a||b):: C = 0 +12 us P2(a||b):: C = 1 +12004 ns P1(a&&b):: C = 0 +12004 ns P2(a&&b):: C = 1 +12006 ns P1(a||b):: C = 1 +12006 ns P2(a||b):: C = 0 +12010 ns P1(a&&b):: C = 0 +12010 ns P2(a&&b):: C = 1 +12012 ns P1(a||b):: C = 1 +12012 ns P2(a||b):: C = 0 +12016 ns P1(a&&b):: C = 0 +12016 ns P2(a&&b):: C = 1 +12018 ns P1(a||b):: C = 1 +12018 ns P2(a||b):: C = 0 +12022 ns P1(a&&b):: C = 0 +12022 ns P2(a&&b):: C = 1 +12024 ns P1(a||b):: C = 1 +12024 ns P2(a||b):: C = 0 +12028 ns P1(a&&b):: C = 1 +12028 ns P2(a&&b):: C = 0 +12030 ns P1(a||b):: C = 1 +12030 ns P2(a||b):: C = 0 +12034 ns P1(a&&b):: C = 0 +12034 ns P2(a&&b):: C = 1 +12036 ns P1(a||b):: C = 1 +12036 ns P2(a||b):: C = 0 +12040 ns P1(a&&b):: C = 0 +12040 ns P2(a&&b):: C = 1 +12042 ns P1(a||b):: C = 1 +12042 ns P2(a||b):: C = 0 +12046 ns P1(a&&b):: C = 0 +12046 ns P2(a&&b):: C = 1 +12048 ns P1(a||b):: C = 1 +12048 ns P2(a||b):: C = 0 +12052 ns P1(a&&b):: C = 0 +12052 ns P2(a&&b):: C = 1 +12054 ns P1(a||b):: C = 1 +12054 ns P2(a||b):: C = 0 +12058 ns P1(a&&b):: C = 0 +12058 ns P2(a&&b):: C = 1 +12060 ns P1(a||b):: C = 0 +12060 ns P2(a||b):: C = 1 +12064 ns P1(a&&b):: C = 0 +12064 ns P2(a&&b):: C = 1 +12066 ns P1(a||b):: C = 1 +12066 ns P2(a||b):: C = 0 +12070 ns P1(a&&b):: C = 0 +12070 ns P2(a&&b):: C = 1 +12072 ns P1(a||b):: C = 1 +12072 ns P2(a||b):: C = 0 +12076 ns P1(a&&b):: C = 0 +12076 ns P2(a&&b):: C = 1 +12078 ns P1(a||b):: C = 0 +12078 ns P2(a||b):: C = 1 +12082 ns P1(a&&b):: C = 0 +12082 ns P2(a&&b):: C = 1 +12084 ns P1(a||b):: C = 1 +12084 ns P2(a||b):: C = 0 +12088 ns P1(a&&b):: C = 0 +12088 ns P2(a&&b):: C = 1 +12090 ns P1(a||b):: C = 1 +12090 ns P2(a||b):: C = 0 +12094 ns P1(a&&b):: C = 0 +12094 ns P2(a&&b):: C = 1 +12096 ns P1(a||b):: C = 1 +12096 ns P2(a||b):: C = 0 +12100 ns P1(a&&b):: C = 0 +12100 ns P2(a&&b):: C = 1 +12102 ns P1(a||b):: C = 0 +12102 ns P2(a||b):: C = 1 +12106 ns P1(a&&b):: C = 0 +12106 ns P2(a&&b):: C = 1 +12108 ns P1(a||b):: C = 1 +12108 ns P2(a||b):: C = 0 +12112 ns P1(a&&b):: C = 0 +12112 ns P2(a&&b):: C = 1 +12114 ns P1(a||b):: C = 1 +12114 ns P2(a||b):: C = 0 +12118 ns P1(a&&b):: C = 1 +12118 ns P2(a&&b):: C = 0 +12120 ns P1(a||b):: C = 1 +12120 ns P2(a||b):: C = 0 +12124 ns P1(a&&b):: C = 1 +12124 ns P2(a&&b):: C = 0 +12126 ns P1(a||b):: C = 1 +12126 ns P2(a||b):: C = 0 +12130 ns P1(a&&b):: C = 0 +12130 ns P2(a&&b):: C = 1 +12132 ns P1(a||b):: C = 1 +12132 ns P2(a||b):: C = 0 +12136 ns P1(a&&b):: C = 0 +12136 ns P2(a&&b):: C = 1 +12138 ns P1(a||b):: C = 0 +12138 ns P2(a||b):: C = 1 +12142 ns P1(a&&b):: C = 0 +12142 ns P2(a&&b):: C = 1 +12144 ns P1(a||b):: C = 0 +12144 ns P2(a||b):: C = 1 +12148 ns P1(a&&b):: C = 1 +12148 ns P2(a&&b):: C = 0 +12150 ns P1(a||b):: C = 0 +12150 ns P2(a||b):: C = 1 +12154 ns P1(a&&b):: C = 1 +12154 ns P2(a&&b):: C = 0 +12156 ns P1(a||b):: C = 1 +12156 ns P2(a||b):: C = 0 +12160 ns P1(a&&b):: C = 1 +12160 ns P2(a&&b):: C = 0 +12162 ns P1(a||b):: C = 1 +12162 ns P2(a||b):: C = 0 +12166 ns P1(a&&b):: C = 0 +12166 ns P2(a&&b):: C = 1 +12168 ns P1(a||b):: C = 1 +12168 ns P2(a||b):: C = 0 +12172 ns P1(a&&b):: C = 0 +12172 ns P2(a&&b):: C = 1 +12174 ns P1(a||b):: C = 1 +12174 ns P2(a||b):: C = 0 +12178 ns P1(a&&b):: C = 0 +12178 ns P2(a&&b):: C = 1 +12180 ns P1(a||b):: C = 1 +12180 ns P2(a||b):: C = 0 +12184 ns P1(a&&b):: C = 0 +12184 ns P2(a&&b):: C = 1 +12186 ns P1(a||b):: C = 1 +12186 ns P2(a||b):: C = 0 +12190 ns P1(a&&b):: C = 0 +12190 ns P2(a&&b):: C = 1 +12192 ns P1(a||b):: C = 1 +12192 ns P2(a||b):: C = 0 +12196 ns P1(a&&b):: C = 1 +12196 ns P2(a&&b):: C = 0 +12198 ns P1(a||b):: C = 1 +12198 ns P2(a||b):: C = 0 +12202 ns P1(a&&b):: C = 1 +12202 ns P2(a&&b):: C = 0 +12204 ns P1(a||b):: C = 1 +12204 ns P2(a||b):: C = 0 +12208 ns P1(a&&b):: C = 0 +12208 ns P2(a&&b):: C = 1 +12210 ns P1(a||b):: C = 1 +12210 ns P2(a||b):: C = 0 +12214 ns P1(a&&b):: C = 1 +12214 ns P2(a&&b):: C = 0 +12216 ns P1(a||b):: C = 1 +12216 ns P2(a||b):: C = 0 +12220 ns P1(a&&b):: C = 0 +12220 ns P2(a&&b):: C = 1 +12222 ns P1(a||b):: C = 1 +12222 ns P2(a||b):: C = 0 +12226 ns P1(a&&b):: C = 0 +12226 ns P2(a&&b):: C = 1 +12228 ns P1(a||b):: C = 1 +12228 ns P2(a||b):: C = 0 +12232 ns P1(a&&b):: C = 0 +12232 ns P2(a&&b):: C = 1 +12234 ns P1(a||b):: C = 1 +12234 ns P2(a||b):: C = 0 +12238 ns P1(a&&b):: C = 0 +12238 ns P2(a&&b):: C = 1 +12240 ns P1(a||b):: C = 1 +12240 ns P2(a||b):: C = 0 +12244 ns P1(a&&b):: C = 0 +12244 ns P2(a&&b):: C = 1 +12246 ns P1(a||b):: C = 0 +12246 ns P2(a||b):: C = 1 +12250 ns P1(a&&b):: C = 0 +12250 ns P2(a&&b):: C = 1 +12252 ns P1(a||b):: C = 1 +12252 ns P2(a||b):: C = 0 +12256 ns P1(a&&b):: C = 0 +12256 ns P2(a&&b):: C = 1 +12258 ns P1(a||b):: C = 1 +12258 ns P2(a||b):: C = 0 +12262 ns P1(a&&b):: C = 0 +12262 ns P2(a&&b):: C = 1 +12264 ns P1(a||b):: C = 1 +12264 ns P2(a||b):: C = 0 +12268 ns P1(a&&b):: C = 0 +12268 ns P2(a&&b):: C = 1 +12270 ns P1(a||b):: C = 1 +12270 ns P2(a||b):: C = 0 +12274 ns P1(a&&b):: C = 1 +12274 ns P2(a&&b):: C = 0 +12276 ns P1(a||b):: C = 1 +12276 ns P2(a||b):: C = 0 +12280 ns P1(a&&b):: C = 0 +12280 ns P2(a&&b):: C = 1 +12282 ns P1(a||b):: C = 1 +12282 ns P2(a||b):: C = 0 +12286 ns P1(a&&b):: C = 0 +12286 ns P2(a&&b):: C = 1 +12288 ns P1(a||b):: C = 1 +12288 ns P2(a||b):: C = 0 +12292 ns P1(a&&b):: C = 0 +12292 ns P2(a&&b):: C = 1 +12294 ns P1(a||b):: C = 0 +12294 ns P2(a||b):: C = 1 +12298 ns P1(a&&b):: C = 1 +12298 ns P2(a&&b):: C = 0 +12300 ns P1(a||b):: C = 1 +12300 ns P2(a||b):: C = 0 +12304 ns P1(a&&b):: C = 0 +12304 ns P2(a&&b):: C = 1 +12306 ns P1(a||b):: C = 0 +12306 ns P2(a||b):: C = 1 +12310 ns P1(a&&b):: C = 0 +12310 ns P2(a&&b):: C = 1 +12312 ns P1(a||b):: C = 1 +12312 ns P2(a||b):: C = 0 +12316 ns P1(a&&b):: C = 1 +12316 ns P2(a&&b):: C = 0 +12318 ns P1(a||b):: C = 1 +12318 ns P2(a||b):: C = 0 +12322 ns P1(a&&b):: C = 1 +12322 ns P2(a&&b):: C = 0 +12324 ns P1(a||b):: C = 1 +12324 ns P2(a||b):: C = 0 +12328 ns P1(a&&b):: C = 0 +12328 ns P2(a&&b):: C = 1 +12330 ns P1(a||b):: C = 1 +12330 ns P2(a||b):: C = 0 +12334 ns P1(a&&b):: C = 0 +12334 ns P2(a&&b):: C = 1 +12336 ns P1(a||b):: C = 1 +12336 ns P2(a||b):: C = 0 +12340 ns P1(a&&b):: C = 1 +12340 ns P2(a&&b):: C = 0 +12342 ns P1(a||b):: C = 1 +12342 ns P2(a||b):: C = 0 +12346 ns P1(a&&b):: C = 0 +12346 ns P2(a&&b):: C = 1 +12348 ns P1(a||b):: C = 0 +12348 ns P2(a||b):: C = 1 +12352 ns P1(a&&b):: C = 1 +12352 ns P2(a&&b):: C = 0 +12354 ns P1(a||b):: C = 1 +12354 ns P2(a||b):: C = 0 +12358 ns P1(a&&b):: C = 0 +12358 ns P2(a&&b):: C = 1 +12360 ns P1(a||b):: C = 1 +12360 ns P2(a||b):: C = 0 +12364 ns P1(a&&b):: C = 0 +12364 ns P2(a&&b):: C = 1 +12366 ns P1(a||b):: C = 1 +12366 ns P2(a||b):: C = 0 +12370 ns P1(a&&b):: C = 0 +12370 ns P2(a&&b):: C = 1 +12372 ns P1(a||b):: C = 1 +12372 ns P2(a||b):: C = 0 +12376 ns P1(a&&b):: C = 0 +12376 ns P2(a&&b):: C = 1 +12378 ns P1(a||b):: C = 1 +12378 ns P2(a||b):: C = 0 +12382 ns P1(a&&b):: C = 0 +12382 ns P2(a&&b):: C = 1 +12384 ns P1(a||b):: C = 1 +12384 ns P2(a||b):: C = 0 +12388 ns P1(a&&b):: C = 0 +12388 ns P2(a&&b):: C = 1 +12390 ns P1(a||b):: C = 1 +12390 ns P2(a||b):: C = 0 +12394 ns P1(a&&b):: C = 0 +12394 ns P2(a&&b):: C = 1 +12396 ns P1(a||b):: C = 1 +12396 ns P2(a||b):: C = 0 +12400 ns P1(a&&b):: C = 0 +12400 ns P2(a&&b):: C = 1 +12402 ns P1(a||b):: C = 1 +12402 ns P2(a||b):: C = 0 +12406 ns P1(a&&b):: C = 0 +12406 ns P2(a&&b):: C = 1 +12408 ns P1(a||b):: C = 0 +12408 ns P2(a||b):: C = 1 +12412 ns P1(a&&b):: C = 0 +12412 ns P2(a&&b):: C = 1 +12414 ns P1(a||b):: C = 0 +12414 ns P2(a||b):: C = 1 +12418 ns P1(a&&b):: C = 0 +12418 ns P2(a&&b):: C = 1 +12420 ns P1(a||b):: C = 1 +12420 ns P2(a||b):: C = 0 +12424 ns P1(a&&b):: C = 0 +12424 ns P2(a&&b):: C = 1 +12426 ns P1(a||b):: C = 0 +12426 ns P2(a||b):: C = 1 +12430 ns P1(a&&b):: C = 0 +12430 ns P2(a&&b):: C = 1 +12432 ns P1(a||b):: C = 1 +12432 ns P2(a||b):: C = 0 +12436 ns P1(a&&b):: C = 1 +12436 ns P2(a&&b):: C = 0 +12438 ns P1(a||b):: C = 1 +12438 ns P2(a||b):: C = 0 +12442 ns P1(a&&b):: C = 1 +12442 ns P2(a&&b):: C = 0 +12444 ns P1(a||b):: C = 1 +12444 ns P2(a||b):: C = 0 +12448 ns P1(a&&b):: C = 0 +12448 ns P2(a&&b):: C = 1 +12450 ns P1(a||b):: C = 1 +12450 ns P2(a||b):: C = 0 +12454 ns P1(a&&b):: C = 0 +12454 ns P2(a&&b):: C = 1 +12456 ns P1(a||b):: C = 0 +12456 ns P2(a||b):: C = 1 +12460 ns P1(a&&b):: C = 0 +12460 ns P2(a&&b):: C = 1 +12462 ns P1(a||b):: C = 1 +12462 ns P2(a||b):: C = 0 +12466 ns P1(a&&b):: C = 1 +12466 ns P2(a&&b):: C = 0 +12468 ns P1(a||b):: C = 1 +12468 ns P2(a||b):: C = 0 +12472 ns P1(a&&b):: C = 1 +12472 ns P2(a&&b):: C = 0 +12474 ns P1(a||b):: C = 1 +12474 ns P2(a||b):: C = 0 +12478 ns P1(a&&b):: C = 0 +12478 ns P2(a&&b):: C = 1 +12480 ns P1(a||b):: C = 1 +12480 ns P2(a||b):: C = 0 +12484 ns P1(a&&b):: C = 0 +12484 ns P2(a&&b):: C = 1 +12486 ns P1(a||b):: C = 1 +12486 ns P2(a||b):: C = 0 +12490 ns P1(a&&b):: C = 0 +12490 ns P2(a&&b):: C = 1 +12492 ns P1(a||b):: C = 0 +12492 ns P2(a||b):: C = 1 +12496 ns P1(a&&b):: C = 1 +12496 ns P2(a&&b):: C = 0 +12498 ns P1(a||b):: C = 1 +12498 ns P2(a||b):: C = 0 +12502 ns P1(a&&b):: C = 0 +12502 ns P2(a&&b):: C = 1 +12504 ns P1(a||b):: C = 1 +12504 ns P2(a||b):: C = 0 +12508 ns P1(a&&b):: C = 0 +12508 ns P2(a&&b):: C = 1 +12510 ns P1(a||b):: C = 1 +12510 ns P2(a||b):: C = 0 +12514 ns P1(a&&b):: C = 1 +12514 ns P2(a&&b):: C = 0 +12516 ns P1(a||b):: C = 0 +12516 ns P2(a||b):: C = 1 +12520 ns P1(a&&b):: C = 0 +12520 ns P2(a&&b):: C = 1 +12522 ns P1(a||b):: C = 0 +12522 ns P2(a||b):: C = 1 +12526 ns P1(a&&b):: C = 0 +12526 ns P2(a&&b):: C = 1 +12528 ns P1(a||b):: C = 1 +12528 ns P2(a||b):: C = 0 +12532 ns P1(a&&b):: C = 0 +12532 ns P2(a&&b):: C = 1 +12534 ns P1(a||b):: C = 1 +12534 ns P2(a||b):: C = 0 +12538 ns P1(a&&b):: C = 1 +12538 ns P2(a&&b):: C = 0 +12540 ns P1(a||b):: C = 1 +12540 ns P2(a||b):: C = 0 +12544 ns P1(a&&b):: C = 0 +12544 ns P2(a&&b):: C = 1 +12546 ns P1(a||b):: C = 0 +12546 ns P2(a||b):: C = 1 +12550 ns P1(a&&b):: C = 0 +12550 ns P2(a&&b):: C = 1 +12552 ns P1(a||b):: C = 1 +12552 ns P2(a||b):: C = 0 +12556 ns P1(a&&b):: C = 0 +12556 ns P2(a&&b):: C = 1 +12558 ns P1(a||b):: C = 0 +12558 ns P2(a||b):: C = 1 +12562 ns P1(a&&b):: C = 0 +12562 ns P2(a&&b):: C = 1 +12564 ns P1(a||b):: C = 1 +12564 ns P2(a||b):: C = 0 +12568 ns P1(a&&b):: C = 0 +12568 ns P2(a&&b):: C = 1 +12570 ns P1(a||b):: C = 1 +12570 ns P2(a||b):: C = 0 +12574 ns P1(a&&b):: C = 0 +12574 ns P2(a&&b):: C = 1 +12576 ns P1(a||b):: C = 1 +12576 ns P2(a||b):: C = 0 +12580 ns P1(a&&b):: C = 0 +12580 ns P2(a&&b):: C = 1 +12582 ns P1(a||b):: C = 1 +12582 ns P2(a||b):: C = 0 +12586 ns P1(a&&b):: C = 0 +12586 ns P2(a&&b):: C = 1 +12588 ns P1(a||b):: C = 1 +12588 ns P2(a||b):: C = 0 +12592 ns P1(a&&b):: C = 0 +12592 ns P2(a&&b):: C = 1 +12594 ns P1(a||b):: C = 1 +12594 ns P2(a||b):: C = 0 +12598 ns P1(a&&b):: C = 1 +12598 ns P2(a&&b):: C = 0 +12600 ns P1(a||b):: C = 1 +12600 ns P2(a||b):: C = 0 +12604 ns P1(a&&b):: C = 0 +12604 ns P2(a&&b):: C = 1 +12606 ns P1(a||b):: C = 0 +12606 ns P2(a||b):: C = 1 +12610 ns P1(a&&b):: C = 0 +12610 ns P2(a&&b):: C = 1 +12612 ns P1(a||b):: C = 1 +12612 ns P2(a||b):: C = 0 +12616 ns P1(a&&b):: C = 0 +12616 ns P2(a&&b):: C = 1 +12618 ns P1(a||b):: C = 1 +12618 ns P2(a||b):: C = 0 +12622 ns P1(a&&b):: C = 1 +12622 ns P2(a&&b):: C = 0 +12624 ns P1(a||b):: C = 1 +12624 ns P2(a||b):: C = 0 +12628 ns P1(a&&b):: C = 0 +12628 ns P2(a&&b):: C = 1 +12630 ns P1(a||b):: C = 0 +12630 ns P2(a||b):: C = 1 +12634 ns P1(a&&b):: C = 0 +12634 ns P2(a&&b):: C = 1 +12636 ns P1(a||b):: C = 1 +12636 ns P2(a||b):: C = 0 +12640 ns P1(a&&b):: C = 0 +12640 ns P2(a&&b):: C = 1 +12642 ns P1(a||b):: C = 1 +12642 ns P2(a||b):: C = 0 +12646 ns P1(a&&b):: C = 0 +12646 ns P2(a&&b):: C = 1 +12648 ns P1(a||b):: C = 1 +12648 ns P2(a||b):: C = 0 +12652 ns P1(a&&b):: C = 0 +12652 ns P2(a&&b):: C = 1 +12654 ns P1(a||b):: C = 1 +12654 ns P2(a||b):: C = 0 +12658 ns P1(a&&b):: C = 0 +12658 ns P2(a&&b):: C = 1 +12660 ns P1(a||b):: C = 1 +12660 ns P2(a||b):: C = 0 +12664 ns P1(a&&b):: C = 0 +12664 ns P2(a&&b):: C = 1 +12666 ns P1(a||b):: C = 1 +12666 ns P2(a||b):: C = 0 +12670 ns P1(a&&b):: C = 0 +12670 ns P2(a&&b):: C = 1 +12672 ns P1(a||b):: C = 0 +12672 ns P2(a||b):: C = 1 +12676 ns P1(a&&b):: C = 0 +12676 ns P2(a&&b):: C = 1 +12678 ns P1(a||b):: C = 1 +12678 ns P2(a||b):: C = 0 +12682 ns P1(a&&b):: C = 0 +12682 ns P2(a&&b):: C = 1 +12684 ns P1(a||b):: C = 0 +12684 ns P2(a||b):: C = 1 +12688 ns P1(a&&b):: C = 1 +12688 ns P2(a&&b):: C = 0 +12690 ns P1(a||b):: C = 1 +12690 ns P2(a||b):: C = 0 +12694 ns P1(a&&b):: C = 1 +12694 ns P2(a&&b):: C = 0 +12696 ns P1(a||b):: C = 1 +12696 ns P2(a||b):: C = 0 +12700 ns P1(a&&b):: C = 1 +12700 ns P2(a&&b):: C = 0 +12702 ns P1(a||b):: C = 1 +12702 ns P2(a||b):: C = 0 +12706 ns P1(a&&b):: C = 0 +12706 ns P2(a&&b):: C = 1 +12708 ns P1(a||b):: C = 0 +12708 ns P2(a||b):: C = 1 +12712 ns P1(a&&b):: C = 0 +12712 ns P2(a&&b):: C = 1 +12714 ns P1(a||b):: C = 0 +12714 ns P2(a||b):: C = 1 +12718 ns P1(a&&b):: C = 0 +12718 ns P2(a&&b):: C = 1 +12720 ns P1(a||b):: C = 1 +12720 ns P2(a||b):: C = 0 +12724 ns P1(a&&b):: C = 0 +12724 ns P2(a&&b):: C = 1 +12726 ns P1(a||b):: C = 1 +12726 ns P2(a||b):: C = 0 +12730 ns P1(a&&b):: C = 0 +12730 ns P2(a&&b):: C = 1 +12732 ns P1(a||b):: C = 1 +12732 ns P2(a||b):: C = 0 +12736 ns P1(a&&b):: C = 0 +12736 ns P2(a&&b):: C = 1 +12738 ns P1(a||b):: C = 1 +12738 ns P2(a||b):: C = 0 +12742 ns P1(a&&b):: C = 0 +12742 ns P2(a&&b):: C = 1 +12744 ns P1(a||b):: C = 0 +12744 ns P2(a||b):: C = 1 +12748 ns P1(a&&b):: C = 1 +12748 ns P2(a&&b):: C = 0 +12750 ns P1(a||b):: C = 1 +12750 ns P2(a||b):: C = 0 +12754 ns P1(a&&b):: C = 0 +12754 ns P2(a&&b):: C = 1 +12756 ns P1(a||b):: C = 0 +12756 ns P2(a||b):: C = 1 +12760 ns P1(a&&b):: C = 1 +12760 ns P2(a&&b):: C = 0 +12762 ns P1(a||b):: C = 1 +12762 ns P2(a||b):: C = 0 +12766 ns P1(a&&b):: C = 1 +12766 ns P2(a&&b):: C = 0 +12768 ns P1(a||b):: C = 0 +12768 ns P2(a||b):: C = 1 +12772 ns P1(a&&b):: C = 0 +12772 ns P2(a&&b):: C = 1 +12774 ns P1(a||b):: C = 0 +12774 ns P2(a||b):: C = 1 +12778 ns P1(a&&b):: C = 0 +12778 ns P2(a&&b):: C = 1 +12780 ns P1(a||b):: C = 1 +12780 ns P2(a||b):: C = 0 +12784 ns P1(a&&b):: C = 0 +12784 ns P2(a&&b):: C = 1 +12786 ns P1(a||b):: C = 1 +12786 ns P2(a||b):: C = 0 +12790 ns P1(a&&b):: C = 0 +12790 ns P2(a&&b):: C = 1 +12792 ns P1(a||b):: C = 1 +12792 ns P2(a||b):: C = 0 +12796 ns P1(a&&b):: C = 0 +12796 ns P2(a&&b):: C = 1 +12798 ns P1(a||b):: C = 0 +12798 ns P2(a||b):: C = 1 +12802 ns P1(a&&b):: C = 0 +12802 ns P2(a&&b):: C = 1 +12804 ns P1(a||b):: C = 1 +12804 ns P2(a||b):: C = 0 +12808 ns P1(a&&b):: C = 1 +12808 ns P2(a&&b):: C = 0 +12810 ns P1(a||b):: C = 0 +12810 ns P2(a||b):: C = 1 +12814 ns P1(a&&b):: C = 0 +12814 ns P2(a&&b):: C = 1 +12816 ns P1(a||b):: C = 1 +12816 ns P2(a||b):: C = 0 +12820 ns P1(a&&b):: C = 0 +12820 ns P2(a&&b):: C = 1 +12822 ns P1(a||b):: C = 1 +12822 ns P2(a||b):: C = 0 +12826 ns P1(a&&b):: C = 1 +12826 ns P2(a&&b):: C = 0 +12828 ns P1(a||b):: C = 0 +12828 ns P2(a||b):: C = 1 +12832 ns P1(a&&b):: C = 1 +12832 ns P2(a&&b):: C = 0 +12834 ns P1(a||b):: C = 1 +12834 ns P2(a||b):: C = 0 +12838 ns P1(a&&b):: C = 0 +12838 ns P2(a&&b):: C = 1 +12840 ns P1(a||b):: C = 1 +12840 ns P2(a||b):: C = 0 +12844 ns P1(a&&b):: C = 0 +12844 ns P2(a&&b):: C = 1 +12846 ns P1(a||b):: C = 0 +12846 ns P2(a||b):: C = 1 +12850 ns P1(a&&b):: C = 1 +12850 ns P2(a&&b):: C = 0 +12852 ns P1(a||b):: C = 0 +12852 ns P2(a||b):: C = 1 +12856 ns P1(a&&b):: C = 0 +12856 ns P2(a&&b):: C = 1 +12858 ns P1(a||b):: C = 1 +12858 ns P2(a||b):: C = 0 +12862 ns P1(a&&b):: C = 0 +12862 ns P2(a&&b):: C = 1 +12864 ns P1(a||b):: C = 1 +12864 ns P2(a||b):: C = 0 +12868 ns P1(a&&b):: C = 0 +12868 ns P2(a&&b):: C = 1 +12870 ns P1(a||b):: C = 1 +12870 ns P2(a||b):: C = 0 +12874 ns P1(a&&b):: C = 0 +12874 ns P2(a&&b):: C = 1 +12876 ns P1(a||b):: C = 1 +12876 ns P2(a||b):: C = 0 +12880 ns P1(a&&b):: C = 0 +12880 ns P2(a&&b):: C = 1 +12882 ns P1(a||b):: C = 1 +12882 ns P2(a||b):: C = 0 +12886 ns P1(a&&b):: C = 0 +12886 ns P2(a&&b):: C = 1 +12888 ns P1(a||b):: C = 1 +12888 ns P2(a||b):: C = 0 +12892 ns P1(a&&b):: C = 0 +12892 ns P2(a&&b):: C = 1 +12894 ns P1(a||b):: C = 1 +12894 ns P2(a||b):: C = 0 +12898 ns P1(a&&b):: C = 1 +12898 ns P2(a&&b):: C = 0 +12900 ns P1(a||b):: C = 1 +12900 ns P2(a||b):: C = 0 +12904 ns P1(a&&b):: C = 1 +12904 ns P2(a&&b):: C = 0 +12906 ns P1(a||b):: C = 1 +12906 ns P2(a||b):: C = 0 +12910 ns P1(a&&b):: C = 1 +12910 ns P2(a&&b):: C = 0 +12912 ns P1(a||b):: C = 1 +12912 ns P2(a||b):: C = 0 +12916 ns P1(a&&b):: C = 0 +12916 ns P2(a&&b):: C = 1 +12918 ns P1(a||b):: C = 1 +12918 ns P2(a||b):: C = 0 +12922 ns P1(a&&b):: C = 0 +12922 ns P2(a&&b):: C = 1 +12924 ns P1(a||b):: C = 0 +12924 ns P2(a||b):: C = 1 +12928 ns P1(a&&b):: C = 0 +12928 ns P2(a&&b):: C = 1 +12930 ns P1(a||b):: C = 1 +12930 ns P2(a||b):: C = 0 +12934 ns P1(a&&b):: C = 0 +12934 ns P2(a&&b):: C = 1 +12936 ns P1(a||b):: C = 1 +12936 ns P2(a||b):: C = 0 +12940 ns P1(a&&b):: C = 0 +12940 ns P2(a&&b):: C = 1 +12942 ns P1(a||b):: C = 0 +12942 ns P2(a||b):: C = 1 +12946 ns P1(a&&b):: C = 0 +12946 ns P2(a&&b):: C = 1 +12948 ns P1(a||b):: C = 1 +12948 ns P2(a||b):: C = 0 +12952 ns P1(a&&b):: C = 0 +12952 ns P2(a&&b):: C = 1 +12954 ns P1(a||b):: C = 1 +12954 ns P2(a||b):: C = 0 +12958 ns P1(a&&b):: C = 1 +12958 ns P2(a&&b):: C = 0 +12960 ns P1(a||b):: C = 1 +12960 ns P2(a||b):: C = 0 +12964 ns P1(a&&b):: C = 0 +12964 ns P2(a&&b):: C = 1 +12966 ns P1(a||b):: C = 1 +12966 ns P2(a||b):: C = 0 +12970 ns P1(a&&b):: C = 0 +12970 ns P2(a&&b):: C = 1 +12972 ns P1(a||b):: C = 1 +12972 ns P2(a||b):: C = 0 +12976 ns P1(a&&b):: C = 0 +12976 ns P2(a&&b):: C = 1 +12978 ns P1(a||b):: C = 1 +12978 ns P2(a||b):: C = 0 +12982 ns P1(a&&b):: C = 1 +12982 ns P2(a&&b):: C = 0 +12984 ns P1(a||b):: C = 1 +12984 ns P2(a||b):: C = 0 +12988 ns P1(a&&b):: C = 0 +12988 ns P2(a&&b):: C = 1 +12990 ns P1(a||b):: C = 1 +12990 ns P2(a||b):: C = 0 +12994 ns P1(a&&b):: C = 1 +12994 ns P2(a&&b):: C = 0 +12996 ns P1(a||b):: C = 0 +12996 ns P2(a||b):: C = 1 +13 us P1(a&&b):: C = 0 +13 us P2(a&&b):: C = 1 +13002 ns P1(a||b):: C = 0 +13002 ns P2(a||b):: C = 1 +13006 ns P1(a&&b):: C = 1 +13006 ns P2(a&&b):: C = 0 +13008 ns P1(a||b):: C = 0 +13008 ns P2(a||b):: C = 1 +13012 ns P1(a&&b):: C = 0 +13012 ns P2(a&&b):: C = 1 +13014 ns P1(a||b):: C = 0 +13014 ns P2(a||b):: C = 1 +13018 ns P1(a&&b):: C = 0 +13018 ns P2(a&&b):: C = 1 +13020 ns P1(a||b):: C = 1 +13020 ns P2(a||b):: C = 0 +13024 ns P1(a&&b):: C = 0 +13024 ns P2(a&&b):: C = 1 +13026 ns P1(a||b):: C = 0 +13026 ns P2(a||b):: C = 1 +13030 ns P1(a&&b):: C = 1 +13030 ns P2(a&&b):: C = 0 +13032 ns P1(a||b):: C = 1 +13032 ns P2(a||b):: C = 0 +13036 ns P1(a&&b):: C = 1 +13036 ns P2(a&&b):: C = 0 +13038 ns P1(a||b):: C = 1 +13038 ns P2(a||b):: C = 0 +13042 ns P1(a&&b):: C = 1 +13042 ns P2(a&&b):: C = 0 +13044 ns P1(a||b):: C = 1 +13044 ns P2(a||b):: C = 0 +13048 ns P1(a&&b):: C = 0 +13048 ns P2(a&&b):: C = 1 +13050 ns P1(a||b):: C = 1 +13050 ns P2(a||b):: C = 0 +13054 ns P1(a&&b):: C = 0 +13054 ns P2(a&&b):: C = 1 +13056 ns P1(a||b):: C = 1 +13056 ns P2(a||b):: C = 0 +13060 ns P1(a&&b):: C = 1 +13060 ns P2(a&&b):: C = 0 +13062 ns P1(a||b):: C = 0 +13062 ns P2(a||b):: C = 1 +13066 ns P1(a&&b):: C = 0 +13066 ns P2(a&&b):: C = 1 +13068 ns P1(a||b):: C = 0 +13068 ns P2(a||b):: C = 1 +13072 ns P1(a&&b):: C = 0 +13072 ns P2(a&&b):: C = 1 +13074 ns P1(a||b):: C = 1 +13074 ns P2(a||b):: C = 0 +13078 ns P1(a&&b):: C = 0 +13078 ns P2(a&&b):: C = 1 +13080 ns P1(a||b):: C = 1 +13080 ns P2(a||b):: C = 0 +13084 ns P1(a&&b):: C = 0 +13084 ns P2(a&&b):: C = 1 +13086 ns P1(a||b):: C = 1 +13086 ns P2(a||b):: C = 0 +13090 ns P1(a&&b):: C = 0 +13090 ns P2(a&&b):: C = 1 +13092 ns P1(a||b):: C = 1 +13092 ns P2(a||b):: C = 0 +13096 ns P1(a&&b):: C = 0 +13096 ns P2(a&&b):: C = 1 +13098 ns P1(a||b):: C = 0 +13098 ns P2(a||b):: C = 1 +13102 ns P1(a&&b):: C = 0 +13102 ns P2(a&&b):: C = 1 +13104 ns P1(a||b):: C = 1 +13104 ns P2(a||b):: C = 0 +13108 ns P1(a&&b):: C = 0 +13108 ns P2(a&&b):: C = 1 +13110 ns P1(a||b):: C = 1 +13110 ns P2(a||b):: C = 0 +13114 ns P1(a&&b):: C = 0 +13114 ns P2(a&&b):: C = 1 +13116 ns P1(a||b):: C = 1 +13116 ns P2(a||b):: C = 0 +13120 ns P1(a&&b):: C = 1 +13120 ns P2(a&&b):: C = 0 +13122 ns P1(a||b):: C = 1 +13122 ns P2(a||b):: C = 0 +13126 ns P1(a&&b):: C = 0 +13126 ns P2(a&&b):: C = 1 +13128 ns P1(a||b):: C = 0 +13128 ns P2(a||b):: C = 1 +13132 ns P1(a&&b):: C = 1 +13132 ns P2(a&&b):: C = 0 +13134 ns P1(a||b):: C = 1 +13134 ns P2(a||b):: C = 0 +13138 ns P1(a&&b):: C = 0 +13138 ns P2(a&&b):: C = 1 +13140 ns P1(a||b):: C = 1 +13140 ns P2(a||b):: C = 0 +13144 ns P1(a&&b):: C = 0 +13144 ns P2(a&&b):: C = 1 +13146 ns P1(a||b):: C = 1 +13146 ns P2(a||b):: C = 0 +13150 ns P1(a&&b):: C = 0 +13150 ns P2(a&&b):: C = 1 +13152 ns P1(a||b):: C = 1 +13152 ns P2(a||b):: C = 0 +13156 ns P1(a&&b):: C = 0 +13156 ns P2(a&&b):: C = 1 +13158 ns P1(a||b):: C = 0 +13158 ns P2(a||b):: C = 1 +13162 ns P1(a&&b):: C = 0 +13162 ns P2(a&&b):: C = 1 +13164 ns P1(a||b):: C = 0 +13164 ns P2(a||b):: C = 1 +13168 ns P1(a&&b):: C = 0 +13168 ns P2(a&&b):: C = 1 +13170 ns P1(a||b):: C = 0 +13170 ns P2(a||b):: C = 1 +13174 ns P1(a&&b):: C = 0 +13174 ns P2(a&&b):: C = 1 +13176 ns P1(a||b):: C = 1 +13176 ns P2(a||b):: C = 0 +13180 ns P1(a&&b):: C = 0 +13180 ns P2(a&&b):: C = 1 +13182 ns P1(a||b):: C = 0 +13182 ns P2(a||b):: C = 1 +13186 ns P1(a&&b):: C = 0 +13186 ns P2(a&&b):: C = 1 +13188 ns P1(a||b):: C = 1 +13188 ns P2(a||b):: C = 0 +13192 ns P1(a&&b):: C = 0 +13192 ns P2(a&&b):: C = 1 +13194 ns P1(a||b):: C = 1 +13194 ns P2(a||b):: C = 0 +13198 ns P1(a&&b):: C = 0 +13198 ns P2(a&&b):: C = 1 +13200 ns P1(a||b):: C = 1 +13200 ns P2(a||b):: C = 0 +13204 ns P1(a&&b):: C = 1 +13204 ns P2(a&&b):: C = 0 +13206 ns P1(a||b):: C = 0 +13206 ns P2(a||b):: C = 1 +13210 ns P1(a&&b):: C = 0 +13210 ns P2(a&&b):: C = 1 +13212 ns P1(a||b):: C = 1 +13212 ns P2(a||b):: C = 0 +13216 ns P1(a&&b):: C = 0 +13216 ns P2(a&&b):: C = 1 +13218 ns P1(a||b):: C = 0 +13218 ns P2(a||b):: C = 1 +13222 ns P1(a&&b):: C = 1 +13222 ns P2(a&&b):: C = 0 +13224 ns P1(a||b):: C = 1 +13224 ns P2(a||b):: C = 0 +13228 ns P1(a&&b):: C = 1 +13228 ns P2(a&&b):: C = 0 +13230 ns P1(a||b):: C = 1 +13230 ns P2(a||b):: C = 0 +13234 ns P1(a&&b):: C = 0 +13234 ns P2(a&&b):: C = 1 +13236 ns P1(a||b):: C = 1 +13236 ns P2(a||b):: C = 0 +13240 ns P1(a&&b):: C = 0 +13240 ns P2(a&&b):: C = 1 +13242 ns P1(a||b):: C = 1 +13242 ns P2(a||b):: C = 0 +13246 ns P1(a&&b):: C = 1 +13246 ns P2(a&&b):: C = 0 +13248 ns P1(a||b):: C = 0 +13248 ns P2(a||b):: C = 1 +13252 ns P1(a&&b):: C = 0 +13252 ns P2(a&&b):: C = 1 +13254 ns P1(a||b):: C = 0 +13254 ns P2(a||b):: C = 1 +13258 ns P1(a&&b):: C = 1 +13258 ns P2(a&&b):: C = 0 +13260 ns P1(a||b):: C = 1 +13260 ns P2(a||b):: C = 0 +13264 ns P1(a&&b):: C = 0 +13264 ns P2(a&&b):: C = 1 +13266 ns P1(a||b):: C = 1 +13266 ns P2(a||b):: C = 0 +13270 ns P1(a&&b):: C = 1 +13270 ns P2(a&&b):: C = 0 +13272 ns P1(a||b):: C = 0 +13272 ns P2(a||b):: C = 1 +13276 ns P1(a&&b):: C = 0 +13276 ns P2(a&&b):: C = 1 +13278 ns P1(a||b):: C = 1 +13278 ns P2(a||b):: C = 0 +13282 ns P1(a&&b):: C = 0 +13282 ns P2(a&&b):: C = 1 +13284 ns P1(a||b):: C = 0 +13284 ns P2(a||b):: C = 1 +13288 ns P1(a&&b):: C = 0 +13288 ns P2(a&&b):: C = 1 +13290 ns P1(a||b):: C = 1 +13290 ns P2(a||b):: C = 0 +13294 ns P1(a&&b):: C = 0 +13294 ns P2(a&&b):: C = 1 +13296 ns P1(a||b):: C = 1 +13296 ns P2(a||b):: C = 0 +13300 ns P1(a&&b):: C = 0 +13300 ns P2(a&&b):: C = 1 +13302 ns P1(a||b):: C = 0 +13302 ns P2(a||b):: C = 1 +13306 ns P1(a&&b):: C = 0 +13306 ns P2(a&&b):: C = 1 +13308 ns P1(a||b):: C = 0 +13308 ns P2(a||b):: C = 1 +13312 ns P1(a&&b):: C = 0 +13312 ns P2(a&&b):: C = 1 +13314 ns P1(a||b):: C = 1 +13314 ns P2(a||b):: C = 0 +13318 ns P1(a&&b):: C = 0 +13318 ns P2(a&&b):: C = 1 +13320 ns P1(a||b):: C = 1 +13320 ns P2(a||b):: C = 0 +13324 ns P1(a&&b):: C = 0 +13324 ns P2(a&&b):: C = 1 +13326 ns P1(a||b):: C = 1 +13326 ns P2(a||b):: C = 0 +13330 ns P1(a&&b):: C = 1 +13330 ns P2(a&&b):: C = 0 +13332 ns P1(a||b):: C = 1 +13332 ns P2(a||b):: C = 0 +13336 ns P1(a&&b):: C = 0 +13336 ns P2(a&&b):: C = 1 +13338 ns P1(a||b):: C = 1 +13338 ns P2(a||b):: C = 0 +13342 ns P1(a&&b):: C = 0 +13342 ns P2(a&&b):: C = 1 +13344 ns P1(a||b):: C = 1 +13344 ns P2(a||b):: C = 0 +13348 ns P1(a&&b):: C = 0 +13348 ns P2(a&&b):: C = 1 +13350 ns P1(a||b):: C = 1 +13350 ns P2(a||b):: C = 0 +13354 ns P1(a&&b):: C = 1 +13354 ns P2(a&&b):: C = 0 +13356 ns P1(a||b):: C = 1 +13356 ns P2(a||b):: C = 0 +13360 ns P1(a&&b):: C = 1 +13360 ns P2(a&&b):: C = 0 +13362 ns P1(a||b):: C = 0 +13362 ns P2(a||b):: C = 1 +13366 ns P1(a&&b):: C = 0 +13366 ns P2(a&&b):: C = 1 +13368 ns P1(a||b):: C = 1 +13368 ns P2(a||b):: C = 0 +13372 ns P1(a&&b):: C = 0 +13372 ns P2(a&&b):: C = 1 +13374 ns P1(a||b):: C = 1 +13374 ns P2(a||b):: C = 0 +13378 ns P1(a&&b):: C = 0 +13378 ns P2(a&&b):: C = 1 +13380 ns P1(a||b):: C = 1 +13380 ns P2(a||b):: C = 0 +13384 ns P1(a&&b):: C = 0 +13384 ns P2(a&&b):: C = 1 +13386 ns P1(a||b):: C = 1 +13386 ns P2(a||b):: C = 0 +13390 ns P1(a&&b):: C = 0 +13390 ns P2(a&&b):: C = 1 +13392 ns P1(a||b):: C = 0 +13392 ns P2(a||b):: C = 1 +13396 ns P1(a&&b):: C = 0 +13396 ns P2(a&&b):: C = 1 +13398 ns P1(a||b):: C = 0 +13398 ns P2(a||b):: C = 1 +13402 ns P1(a&&b):: C = 0 +13402 ns P2(a&&b):: C = 1 +13404 ns P1(a||b):: C = 1 +13404 ns P2(a||b):: C = 0 +13408 ns P1(a&&b):: C = 0 +13408 ns P2(a&&b):: C = 1 +13410 ns P1(a||b):: C = 1 +13410 ns P2(a||b):: C = 0 +13414 ns P1(a&&b):: C = 0 +13414 ns P2(a&&b):: C = 1 +13416 ns P1(a||b):: C = 1 +13416 ns P2(a||b):: C = 0 +13420 ns P1(a&&b):: C = 1 +13420 ns P2(a&&b):: C = 0 +13422 ns P1(a||b):: C = 1 +13422 ns P2(a||b):: C = 0 +13426 ns P1(a&&b):: C = 0 +13426 ns P2(a&&b):: C = 1 +13428 ns P1(a||b):: C = 1 +13428 ns P2(a||b):: C = 0 +13432 ns P1(a&&b):: C = 0 +13432 ns P2(a&&b):: C = 1 +13434 ns P1(a||b):: C = 0 +13434 ns P2(a||b):: C = 1 +13438 ns P1(a&&b):: C = 0 +13438 ns P2(a&&b):: C = 1 +13440 ns P1(a||b):: C = 1 +13440 ns P2(a||b):: C = 0 +13444 ns P1(a&&b):: C = 0 +13444 ns P2(a&&b):: C = 1 +13446 ns P1(a||b):: C = 0 +13446 ns P2(a||b):: C = 1 +13450 ns P1(a&&b):: C = 0 +13450 ns P2(a&&b):: C = 1 +13452 ns P1(a||b):: C = 1 +13452 ns P2(a||b):: C = 0 +13456 ns P1(a&&b):: C = 0 +13456 ns P2(a&&b):: C = 1 +13458 ns P1(a||b):: C = 1 +13458 ns P2(a||b):: C = 0 +13462 ns P1(a&&b):: C = 0 +13462 ns P2(a&&b):: C = 1 +13464 ns P1(a||b):: C = 1 +13464 ns P2(a||b):: C = 0 +13468 ns P1(a&&b):: C = 0 +13468 ns P2(a&&b):: C = 1 +13470 ns P1(a||b):: C = 0 +13470 ns P2(a||b):: C = 1 +13474 ns P1(a&&b):: C = 0 +13474 ns P2(a&&b):: C = 1 +13476 ns P1(a||b):: C = 1 +13476 ns P2(a||b):: C = 0 +13480 ns P1(a&&b):: C = 0 +13480 ns P2(a&&b):: C = 1 +13482 ns P1(a||b):: C = 1 +13482 ns P2(a||b):: C = 0 +13486 ns P1(a&&b):: C = 0 +13486 ns P2(a&&b):: C = 1 +13488 ns P1(a||b):: C = 0 +13488 ns P2(a||b):: C = 1 +13492 ns P1(a&&b):: C = 0 +13492 ns P2(a&&b):: C = 1 +13494 ns P1(a||b):: C = 1 +13494 ns P2(a||b):: C = 0 +13498 ns P1(a&&b):: C = 1 +13498 ns P2(a&&b):: C = 0 +13500 ns P1(a||b):: C = 1 +13500 ns P2(a||b):: C = 0 +13504 ns P1(a&&b):: C = 0 +13504 ns P2(a&&b):: C = 1 +13506 ns P1(a||b):: C = 1 +13506 ns P2(a||b):: C = 0 +13510 ns P1(a&&b):: C = 0 +13510 ns P2(a&&b):: C = 1 +13512 ns P1(a||b):: C = 1 +13512 ns P2(a||b):: C = 0 +13516 ns P1(a&&b):: C = 0 +13516 ns P2(a&&b):: C = 1 +13518 ns P1(a||b):: C = 1 +13518 ns P2(a||b):: C = 0 +13522 ns P1(a&&b):: C = 0 +13522 ns P2(a&&b):: C = 1 +13524 ns P1(a||b):: C = 1 +13524 ns P2(a||b):: C = 0 +13528 ns P1(a&&b):: C = 0 +13528 ns P2(a&&b):: C = 1 +13530 ns P1(a||b):: C = 1 +13530 ns P2(a||b):: C = 0 +13534 ns P1(a&&b):: C = 1 +13534 ns P2(a&&b):: C = 0 +13536 ns P1(a||b):: C = 1 +13536 ns P2(a||b):: C = 0 +13540 ns P1(a&&b):: C = 0 +13540 ns P2(a&&b):: C = 1 +13542 ns P1(a||b):: C = 1 +13542 ns P2(a||b):: C = 0 +13546 ns P1(a&&b):: C = 0 +13546 ns P2(a&&b):: C = 1 +13548 ns P1(a||b):: C = 0 +13548 ns P2(a||b):: C = 1 +13552 ns P1(a&&b):: C = 0 +13552 ns P2(a&&b):: C = 1 +13554 ns P1(a||b):: C = 1 +13554 ns P2(a||b):: C = 0 +13558 ns P1(a&&b):: C = 1 +13558 ns P2(a&&b):: C = 0 +13560 ns P1(a||b):: C = 1 +13560 ns P2(a||b):: C = 0 +13564 ns P1(a&&b):: C = 0 +13564 ns P2(a&&b):: C = 1 +13566 ns P1(a||b):: C = 1 +13566 ns P2(a||b):: C = 0 +13570 ns P1(a&&b):: C = 1 +13570 ns P2(a&&b):: C = 0 +13572 ns P1(a||b):: C = 1 +13572 ns P2(a||b):: C = 0 +13576 ns P1(a&&b):: C = 0 +13576 ns P2(a&&b):: C = 1 +13578 ns P1(a||b):: C = 1 +13578 ns P2(a||b):: C = 0 +13582 ns P1(a&&b):: C = 0 +13582 ns P2(a&&b):: C = 1 +13584 ns P1(a||b):: C = 1 +13584 ns P2(a||b):: C = 0 +13588 ns P1(a&&b):: C = 0 +13588 ns P2(a&&b):: C = 1 +13590 ns P1(a||b):: C = 1 +13590 ns P2(a||b):: C = 0 +13594 ns P1(a&&b):: C = 1 +13594 ns P2(a&&b):: C = 0 +13596 ns P1(a||b):: C = 1 +13596 ns P2(a||b):: C = 0 +13600 ns P1(a&&b):: C = 0 +13600 ns P2(a&&b):: C = 1 +13602 ns P1(a||b):: C = 0 +13602 ns P2(a||b):: C = 1 +13606 ns P1(a&&b):: C = 0 +13606 ns P2(a&&b):: C = 1 +13608 ns P1(a||b):: C = 1 +13608 ns P2(a||b):: C = 0 +13612 ns P1(a&&b):: C = 1 +13612 ns P2(a&&b):: C = 0 +13614 ns P1(a||b):: C = 0 +13614 ns P2(a||b):: C = 1 +13618 ns P1(a&&b):: C = 1 +13618 ns P2(a&&b):: C = 0 +13620 ns P1(a||b):: C = 1 +13620 ns P2(a||b):: C = 0 +13624 ns P1(a&&b):: C = 0 +13624 ns P2(a&&b):: C = 1 +13626 ns P1(a||b):: C = 1 +13626 ns P2(a||b):: C = 0 +13630 ns P1(a&&b):: C = 0 +13630 ns P2(a&&b):: C = 1 +13632 ns P1(a||b):: C = 1 +13632 ns P2(a||b):: C = 0 +13636 ns P1(a&&b):: C = 0 +13636 ns P2(a&&b):: C = 1 +13638 ns P1(a||b):: C = 1 +13638 ns P2(a||b):: C = 0 +13642 ns P1(a&&b):: C = 0 +13642 ns P2(a&&b):: C = 1 +13644 ns P1(a||b):: C = 1 +13644 ns P2(a||b):: C = 0 +13648 ns P1(a&&b):: C = 1 +13648 ns P2(a&&b):: C = 0 +13650 ns P1(a||b):: C = 1 +13650 ns P2(a||b):: C = 0 +13654 ns P1(a&&b):: C = 1 +13654 ns P2(a&&b):: C = 0 +13656 ns P1(a||b):: C = 0 +13656 ns P2(a||b):: C = 1 +13660 ns P1(a&&b):: C = 0 +13660 ns P2(a&&b):: C = 1 +13662 ns P1(a||b):: C = 1 +13662 ns P2(a||b):: C = 0 +13666 ns P1(a&&b):: C = 0 +13666 ns P2(a&&b):: C = 1 +13668 ns P1(a||b):: C = 1 +13668 ns P2(a||b):: C = 0 +13672 ns P1(a&&b):: C = 0 +13672 ns P2(a&&b):: C = 1 +13674 ns P1(a||b):: C = 0 +13674 ns P2(a||b):: C = 1 +13678 ns P1(a&&b):: C = 1 +13678 ns P2(a&&b):: C = 0 +13680 ns P1(a||b):: C = 0 +13680 ns P2(a||b):: C = 1 +13684 ns P1(a&&b):: C = 0 +13684 ns P2(a&&b):: C = 1 +13686 ns P1(a||b):: C = 0 +13686 ns P2(a||b):: C = 1 +13690 ns P1(a&&b):: C = 0 +13690 ns P2(a&&b):: C = 1 +13692 ns P1(a||b):: C = 1 +13692 ns P2(a||b):: C = 0 +13696 ns P1(a&&b):: C = 0 +13696 ns P2(a&&b):: C = 1 +13698 ns P1(a||b):: C = 0 +13698 ns P2(a||b):: C = 1 +13702 ns P1(a&&b):: C = 0 +13702 ns P2(a&&b):: C = 1 +13704 ns P1(a||b):: C = 1 +13704 ns P2(a||b):: C = 0 +13708 ns P1(a&&b):: C = 0 +13708 ns P2(a&&b):: C = 1 +13710 ns P1(a||b):: C = 1 +13710 ns P2(a||b):: C = 0 +13714 ns P1(a&&b):: C = 0 +13714 ns P2(a&&b):: C = 1 +13716 ns P1(a||b):: C = 1 +13716 ns P2(a||b):: C = 0 +13720 ns P1(a&&b):: C = 0 +13720 ns P2(a&&b):: C = 1 +13722 ns P1(a||b):: C = 1 +13722 ns P2(a||b):: C = 0 +13726 ns P1(a&&b):: C = 0 +13726 ns P2(a&&b):: C = 1 +13728 ns P1(a||b):: C = 1 +13728 ns P2(a||b):: C = 0 +13732 ns P1(a&&b):: C = 1 +13732 ns P2(a&&b):: C = 0 +13734 ns P1(a||b):: C = 1 +13734 ns P2(a||b):: C = 0 +13738 ns P1(a&&b):: C = 1 +13738 ns P2(a&&b):: C = 0 +13740 ns P1(a||b):: C = 0 +13740 ns P2(a||b):: C = 1 +13744 ns P1(a&&b):: C = 0 +13744 ns P2(a&&b):: C = 1 +13746 ns P1(a||b):: C = 1 +13746 ns P2(a||b):: C = 0 +13750 ns P1(a&&b):: C = 0 +13750 ns P2(a&&b):: C = 1 +13752 ns P1(a||b):: C = 1 +13752 ns P2(a||b):: C = 0 +13756 ns P1(a&&b):: C = 0 +13756 ns P2(a&&b):: C = 1 +13758 ns P1(a||b):: C = 1 +13758 ns P2(a||b):: C = 0 +13762 ns P1(a&&b):: C = 1 +13762 ns P2(a&&b):: C = 0 +13764 ns P1(a||b):: C = 0 +13764 ns P2(a||b):: C = 1 +13768 ns P1(a&&b):: C = 0 +13768 ns P2(a&&b):: C = 1 +13770 ns P1(a||b):: C = 1 +13770 ns P2(a||b):: C = 0 +13774 ns P1(a&&b):: C = 0 +13774 ns P2(a&&b):: C = 1 +13776 ns P1(a||b):: C = 1 +13776 ns P2(a||b):: C = 0 +13780 ns P1(a&&b):: C = 0 +13780 ns P2(a&&b):: C = 1 +13782 ns P1(a||b):: C = 1 +13782 ns P2(a||b):: C = 0 +13786 ns P1(a&&b):: C = 0 +13786 ns P2(a&&b):: C = 1 +13788 ns P1(a||b):: C = 1 +13788 ns P2(a||b):: C = 0 +13792 ns P1(a&&b):: C = 0 +13792 ns P2(a&&b):: C = 1 +13794 ns P1(a||b):: C = 0 +13794 ns P2(a||b):: C = 1 +13798 ns P1(a&&b):: C = 1 +13798 ns P2(a&&b):: C = 0 +13800 ns P1(a||b):: C = 1 +13800 ns P2(a||b):: C = 0 +13804 ns P1(a&&b):: C = 0 +13804 ns P2(a&&b):: C = 1 +13806 ns P1(a||b):: C = 1 +13806 ns P2(a||b):: C = 0 +13810 ns P1(a&&b):: C = 0 +13810 ns P2(a&&b):: C = 1 +13812 ns P1(a||b):: C = 1 +13812 ns P2(a||b):: C = 0 +13816 ns P1(a&&b):: C = 0 +13816 ns P2(a&&b):: C = 1 +13818 ns P1(a||b):: C = 0 +13818 ns P2(a||b):: C = 1 +13822 ns P1(a&&b):: C = 0 +13822 ns P2(a&&b):: C = 1 +13824 ns P1(a||b):: C = 0 +13824 ns P2(a||b):: C = 1 +13828 ns P1(a&&b):: C = 0 +13828 ns P2(a&&b):: C = 1 +13830 ns P1(a||b):: C = 0 +13830 ns P2(a||b):: C = 1 +13834 ns P1(a&&b):: C = 0 +13834 ns P2(a&&b):: C = 1 +13836 ns P1(a||b):: C = 0 +13836 ns P2(a||b):: C = 1 +13840 ns P1(a&&b):: C = 0 +13840 ns P2(a&&b):: C = 1 +13842 ns P1(a||b):: C = 1 +13842 ns P2(a||b):: C = 0 +13846 ns P1(a&&b):: C = 0 +13846 ns P2(a&&b):: C = 1 +13848 ns P1(a||b):: C = 1 +13848 ns P2(a||b):: C = 0 +13852 ns P1(a&&b):: C = 1 +13852 ns P2(a&&b):: C = 0 +13854 ns P1(a||b):: C = 1 +13854 ns P2(a||b):: C = 0 +13858 ns P1(a&&b):: C = 0 +13858 ns P2(a&&b):: C = 1 +13860 ns P1(a||b):: C = 0 +13860 ns P2(a||b):: C = 1 +13864 ns P1(a&&b):: C = 0 +13864 ns P2(a&&b):: C = 1 +13866 ns P1(a||b):: C = 1 +13866 ns P2(a||b):: C = 0 +13870 ns P1(a&&b):: C = 0 +13870 ns P2(a&&b):: C = 1 +13872 ns P1(a||b):: C = 0 +13872 ns P2(a||b):: C = 1 +13876 ns P1(a&&b):: C = 0 +13876 ns P2(a&&b):: C = 1 +13878 ns P1(a||b):: C = 1 +13878 ns P2(a||b):: C = 0 +13882 ns P1(a&&b):: C = 1 +13882 ns P2(a&&b):: C = 0 +13884 ns P1(a||b):: C = 1 +13884 ns P2(a||b):: C = 0 +13888 ns P1(a&&b):: C = 0 +13888 ns P2(a&&b):: C = 1 +13890 ns P1(a||b):: C = 0 +13890 ns P2(a||b):: C = 1 +13894 ns P1(a&&b):: C = 0 +13894 ns P2(a&&b):: C = 1 +13896 ns P1(a||b):: C = 1 +13896 ns P2(a||b):: C = 0 +13900 ns P1(a&&b):: C = 1 +13900 ns P2(a&&b):: C = 0 +13902 ns P1(a||b):: C = 1 +13902 ns P2(a||b):: C = 0 +13906 ns P1(a&&b):: C = 0 +13906 ns P2(a&&b):: C = 1 +13908 ns P1(a||b):: C = 0 +13908 ns P2(a||b):: C = 1 +13912 ns P1(a&&b):: C = 0 +13912 ns P2(a&&b):: C = 1 +13914 ns P1(a||b):: C = 1 +13914 ns P2(a||b):: C = 0 +13918 ns P1(a&&b):: C = 0 +13918 ns P2(a&&b):: C = 1 +13920 ns P1(a||b):: C = 0 +13920 ns P2(a||b):: C = 1 +13924 ns P1(a&&b):: C = 0 +13924 ns P2(a&&b):: C = 1 +13926 ns P1(a||b):: C = 1 +13926 ns P2(a||b):: C = 0 +13930 ns P1(a&&b):: C = 1 +13930 ns P2(a&&b):: C = 0 +13932 ns P1(a||b):: C = 1 +13932 ns P2(a||b):: C = 0 +13936 ns P1(a&&b):: C = 0 +13936 ns P2(a&&b):: C = 1 +13938 ns P1(a||b):: C = 1 +13938 ns P2(a||b):: C = 0 +13942 ns P1(a&&b):: C = 0 +13942 ns P2(a&&b):: C = 1 +13944 ns P1(a||b):: C = 1 +13944 ns P2(a||b):: C = 0 +13948 ns P1(a&&b):: C = 0 +13948 ns P2(a&&b):: C = 1 +13950 ns P1(a||b):: C = 0 +13950 ns P2(a||b):: C = 1 +13954 ns P1(a&&b):: C = 1 +13954 ns P2(a&&b):: C = 0 +13956 ns P1(a||b):: C = 1 +13956 ns P2(a||b):: C = 0 +13960 ns P1(a&&b):: C = 1 +13960 ns P2(a&&b):: C = 0 +13962 ns P1(a||b):: C = 1 +13962 ns P2(a||b):: C = 0 +13966 ns P1(a&&b):: C = 0 +13966 ns P2(a&&b):: C = 1 +13968 ns P1(a||b):: C = 1 +13968 ns P2(a||b):: C = 0 +13972 ns P1(a&&b):: C = 0 +13972 ns P2(a&&b):: C = 1 +13974 ns P1(a||b):: C = 0 +13974 ns P2(a||b):: C = 1 +13978 ns P1(a&&b):: C = 0 +13978 ns P2(a&&b):: C = 1 +13980 ns P1(a||b):: C = 1 +13980 ns P2(a||b):: C = 0 +13984 ns P1(a&&b):: C = 1 +13984 ns P2(a&&b):: C = 0 +13986 ns P1(a||b):: C = 1 +13986 ns P2(a||b):: C = 0 +13990 ns P1(a&&b):: C = 0 +13990 ns P2(a&&b):: C = 1 +13992 ns P1(a||b):: C = 1 +13992 ns P2(a||b):: C = 0 +13996 ns P1(a&&b):: C = 0 +13996 ns P2(a&&b):: C = 1 +13998 ns P1(a||b):: C = 1 +13998 ns P2(a||b):: C = 0 +14002 ns P1(a&&b):: C = 1 +14002 ns P2(a&&b):: C = 0 +14004 ns P1(a||b):: C = 1 +14004 ns P2(a||b):: C = 0 +14008 ns P1(a&&b):: C = 1 +14008 ns P2(a&&b):: C = 0 +14010 ns P1(a||b):: C = 1 +14010 ns P2(a||b):: C = 0 +14014 ns P1(a&&b):: C = 1 +14014 ns P2(a&&b):: C = 0 +14016 ns P1(a||b):: C = 1 +14016 ns P2(a||b):: C = 0 +14020 ns P1(a&&b):: C = 0 +14020 ns P2(a&&b):: C = 1 +14022 ns P1(a||b):: C = 1 +14022 ns P2(a||b):: C = 0 +14026 ns P1(a&&b):: C = 0 +14026 ns P2(a&&b):: C = 1 +14028 ns P1(a||b):: C = 1 +14028 ns P2(a||b):: C = 0 +14032 ns P1(a&&b):: C = 0 +14032 ns P2(a&&b):: C = 1 +14034 ns P1(a||b):: C = 1 +14034 ns P2(a||b):: C = 0 +14038 ns P1(a&&b):: C = 0 +14038 ns P2(a&&b):: C = 1 +14040 ns P1(a||b):: C = 1 +14040 ns P2(a||b):: C = 0 +14044 ns P1(a&&b):: C = 0 +14044 ns P2(a&&b):: C = 1 +14046 ns P1(a||b):: C = 1 +14046 ns P2(a||b):: C = 0 +14050 ns P1(a&&b):: C = 0 +14050 ns P2(a&&b):: C = 1 +14052 ns P1(a||b):: C = 1 +14052 ns P2(a||b):: C = 0 +14056 ns P1(a&&b):: C = 0 +14056 ns P2(a&&b):: C = 1 +14058 ns P1(a||b):: C = 1 +14058 ns P2(a||b):: C = 0 +14062 ns P1(a&&b):: C = 0 +14062 ns P2(a&&b):: C = 1 +14064 ns P1(a||b):: C = 0 +14064 ns P2(a||b):: C = 1 +14068 ns P1(a&&b):: C = 0 +14068 ns P2(a&&b):: C = 1 +14070 ns P1(a||b):: C = 1 +14070 ns P2(a||b):: C = 0 +14074 ns P1(a&&b):: C = 0 +14074 ns P2(a&&b):: C = 1 +14076 ns P1(a||b):: C = 1 +14076 ns P2(a||b):: C = 0 +14080 ns P1(a&&b):: C = 0 +14080 ns P2(a&&b):: C = 1 +14082 ns P1(a||b):: C = 1 +14082 ns P2(a||b):: C = 0 +14086 ns P1(a&&b):: C = 1 +14086 ns P2(a&&b):: C = 0 +14088 ns P1(a||b):: C = 1 +14088 ns P2(a||b):: C = 0 +14092 ns P1(a&&b):: C = 0 +14092 ns P2(a&&b):: C = 1 +14094 ns P1(a||b):: C = 1 +14094 ns P2(a||b):: C = 0 +14098 ns P1(a&&b):: C = 1 +14098 ns P2(a&&b):: C = 0 +14100 ns P1(a||b):: C = 1 +14100 ns P2(a||b):: C = 0 +14104 ns P1(a&&b):: C = 1 +14104 ns P2(a&&b):: C = 0 +14106 ns P1(a||b):: C = 1 +14106 ns P2(a||b):: C = 0 +14110 ns P1(a&&b):: C = 1 +14110 ns P2(a&&b):: C = 0 +14112 ns P1(a||b):: C = 0 +14112 ns P2(a||b):: C = 1 +14116 ns P1(a&&b):: C = 0 +14116 ns P2(a&&b):: C = 1 +14118 ns P1(a||b):: C = 1 +14118 ns P2(a||b):: C = 0 +14122 ns P1(a&&b):: C = 0 +14122 ns P2(a&&b):: C = 1 +14124 ns P1(a||b):: C = 1 +14124 ns P2(a||b):: C = 0 +14128 ns P1(a&&b):: C = 1 +14128 ns P2(a&&b):: C = 0 +14130 ns P1(a||b):: C = 1 +14130 ns P2(a||b):: C = 0 +14134 ns P1(a&&b):: C = 0 +14134 ns P2(a&&b):: C = 1 +14136 ns P1(a||b):: C = 1 +14136 ns P2(a||b):: C = 0 +14140 ns P1(a&&b):: C = 0 +14140 ns P2(a&&b):: C = 1 +14142 ns P1(a||b):: C = 1 +14142 ns P2(a||b):: C = 0 +14146 ns P1(a&&b):: C = 0 +14146 ns P2(a&&b):: C = 1 +14148 ns P1(a||b):: C = 1 +14148 ns P2(a||b):: C = 0 +14152 ns P1(a&&b):: C = 0 +14152 ns P2(a&&b):: C = 1 +14154 ns P1(a||b):: C = 1 +14154 ns P2(a||b):: C = 0 +14158 ns P1(a&&b):: C = 0 +14158 ns P2(a&&b):: C = 1 +14160 ns P1(a||b):: C = 1 +14160 ns P2(a||b):: C = 0 +14164 ns P1(a&&b):: C = 1 +14164 ns P2(a&&b):: C = 0 +14166 ns P1(a||b):: C = 0 +14166 ns P2(a||b):: C = 1 +14170 ns P1(a&&b):: C = 0 +14170 ns P2(a&&b):: C = 1 +14172 ns P1(a||b):: C = 1 +14172 ns P2(a||b):: C = 0 +14176 ns P1(a&&b):: C = 1 +14176 ns P2(a&&b):: C = 0 +14178 ns P1(a||b):: C = 1 +14178 ns P2(a||b):: C = 0 +14182 ns P1(a&&b):: C = 0 +14182 ns P2(a&&b):: C = 1 +14184 ns P1(a||b):: C = 1 +14184 ns P2(a||b):: C = 0 +14188 ns P1(a&&b):: C = 1 +14188 ns P2(a&&b):: C = 0 +14190 ns P1(a||b):: C = 0 +14190 ns P2(a||b):: C = 1 +14194 ns P1(a&&b):: C = 0 +14194 ns P2(a&&b):: C = 1 +14196 ns P1(a||b):: C = 1 +14196 ns P2(a||b):: C = 0 +14200 ns P1(a&&b):: C = 0 +14200 ns P2(a&&b):: C = 1 +14202 ns P1(a||b):: C = 0 +14202 ns P2(a||b):: C = 1 +14206 ns P1(a&&b):: C = 1 +14206 ns P2(a&&b):: C = 0 +14208 ns P1(a||b):: C = 1 +14208 ns P2(a||b):: C = 0 +14212 ns P1(a&&b):: C = 0 +14212 ns P2(a&&b):: C = 1 +14214 ns P1(a||b):: C = 0 +14214 ns P2(a||b):: C = 1 +14218 ns P1(a&&b):: C = 0 +14218 ns P2(a&&b):: C = 1 +14220 ns P1(a||b):: C = 1 +14220 ns P2(a||b):: C = 0 +14224 ns P1(a&&b):: C = 1 +14224 ns P2(a&&b):: C = 0 +14226 ns P1(a||b):: C = 1 +14226 ns P2(a||b):: C = 0 +14230 ns P1(a&&b):: C = 0 +14230 ns P2(a&&b):: C = 1 +14232 ns P1(a||b):: C = 1 +14232 ns P2(a||b):: C = 0 +14236 ns P1(a&&b):: C = 1 +14236 ns P2(a&&b):: C = 0 +14238 ns P1(a||b):: C = 0 +14238 ns P2(a||b):: C = 1 +14242 ns P1(a&&b):: C = 1 +14242 ns P2(a&&b):: C = 0 +14244 ns P1(a||b):: C = 1 +14244 ns P2(a||b):: C = 0 +14248 ns P1(a&&b):: C = 0 +14248 ns P2(a&&b):: C = 1 +14250 ns P1(a||b):: C = 1 +14250 ns P2(a||b):: C = 0 +14254 ns P1(a&&b):: C = 0 +14254 ns P2(a&&b):: C = 1 +14256 ns P1(a||b):: C = 1 +14256 ns P2(a||b):: C = 0 +14260 ns P1(a&&b):: C = 0 +14260 ns P2(a&&b):: C = 1 +14262 ns P1(a||b):: C = 1 +14262 ns P2(a||b):: C = 0 +14266 ns P1(a&&b):: C = 0 +14266 ns P2(a&&b):: C = 1 +14268 ns P1(a||b):: C = 1 +14268 ns P2(a||b):: C = 0 +14272 ns P1(a&&b):: C = 1 +14272 ns P2(a&&b):: C = 0 +14274 ns P1(a||b):: C = 1 +14274 ns P2(a||b):: C = 0 +14278 ns P1(a&&b):: C = 0 +14278 ns P2(a&&b):: C = 1 +14280 ns P1(a||b):: C = 1 +14280 ns P2(a||b):: C = 0 +14284 ns P1(a&&b):: C = 0 +14284 ns P2(a&&b):: C = 1 +14286 ns P1(a||b):: C = 1 +14286 ns P2(a||b):: C = 0 +14290 ns P1(a&&b):: C = 1 +14290 ns P2(a&&b):: C = 0 +14292 ns P1(a||b):: C = 1 +14292 ns P2(a||b):: C = 0 +14296 ns P1(a&&b):: C = 0 +14296 ns P2(a&&b):: C = 1 +14298 ns P1(a||b):: C = 1 +14298 ns P2(a||b):: C = 0 +14302 ns P1(a&&b):: C = 0 +14302 ns P2(a&&b):: C = 1 +14304 ns P1(a||b):: C = 0 +14304 ns P2(a||b):: C = 1 +14308 ns P1(a&&b):: C = 0 +14308 ns P2(a&&b):: C = 1 +14310 ns P1(a||b):: C = 0 +14310 ns P2(a||b):: C = 1 +14314 ns P1(a&&b):: C = 0 +14314 ns P2(a&&b):: C = 1 +14316 ns P1(a||b):: C = 0 +14316 ns P2(a||b):: C = 1 +14320 ns P1(a&&b):: C = 0 +14320 ns P2(a&&b):: C = 1 +14322 ns P1(a||b):: C = 1 +14322 ns P2(a||b):: C = 0 +14326 ns P1(a&&b):: C = 0 +14326 ns P2(a&&b):: C = 1 +14328 ns P1(a||b):: C = 1 +14328 ns P2(a||b):: C = 0 +14332 ns P1(a&&b):: C = 1 +14332 ns P2(a&&b):: C = 0 +14334 ns P1(a||b):: C = 0 +14334 ns P2(a||b):: C = 1 +14338 ns P1(a&&b):: C = 0 +14338 ns P2(a&&b):: C = 1 +14340 ns P1(a||b):: C = 1 +14340 ns P2(a||b):: C = 0 +14344 ns P1(a&&b):: C = 0 +14344 ns P2(a&&b):: C = 1 +14346 ns P1(a||b):: C = 1 +14346 ns P2(a||b):: C = 0 +14350 ns P1(a&&b):: C = 0 +14350 ns P2(a&&b):: C = 1 +14352 ns P1(a||b):: C = 1 +14352 ns P2(a||b):: C = 0 +14356 ns P1(a&&b):: C = 0 +14356 ns P2(a&&b):: C = 1 +14358 ns P1(a||b):: C = 0 +14358 ns P2(a||b):: C = 1 +14362 ns P1(a&&b):: C = 1 +14362 ns P2(a&&b):: C = 0 +14364 ns P1(a||b):: C = 0 +14364 ns P2(a||b):: C = 1 +14368 ns P1(a&&b):: C = 0 +14368 ns P2(a&&b):: C = 1 +14370 ns P1(a||b):: C = 1 +14370 ns P2(a||b):: C = 0 +14374 ns P1(a&&b):: C = 0 +14374 ns P2(a&&b):: C = 1 +14376 ns P1(a||b):: C = 1 +14376 ns P2(a||b):: C = 0 +14380 ns P1(a&&b):: C = 0 +14380 ns P2(a&&b):: C = 1 +14382 ns P1(a||b):: C = 1 +14382 ns P2(a||b):: C = 0 +14386 ns P1(a&&b):: C = 0 +14386 ns P2(a&&b):: C = 1 +14388 ns P1(a||b):: C = 1 +14388 ns P2(a||b):: C = 0 +14392 ns P1(a&&b):: C = 1 +14392 ns P2(a&&b):: C = 0 +14394 ns P1(a||b):: C = 1 +14394 ns P2(a||b):: C = 0 +14398 ns P1(a&&b):: C = 0 +14398 ns P2(a&&b):: C = 1 +14400 ns P1(a||b):: C = 1 +14400 ns P2(a||b):: C = 0 +14404 ns P1(a&&b):: C = 0 +14404 ns P2(a&&b):: C = 1 +14406 ns P1(a||b):: C = 0 +14406 ns P2(a||b):: C = 1 +14410 ns P1(a&&b):: C = 1 +14410 ns P2(a&&b):: C = 0 +14412 ns P1(a||b):: C = 1 +14412 ns P2(a||b):: C = 0 +14416 ns P1(a&&b):: C = 0 +14416 ns P2(a&&b):: C = 1 +14418 ns P1(a||b):: C = 1 +14418 ns P2(a||b):: C = 0 +14422 ns P1(a&&b):: C = 1 +14422 ns P2(a&&b):: C = 0 +14424 ns P1(a||b):: C = 1 +14424 ns P2(a||b):: C = 0 +14428 ns P1(a&&b):: C = 0 +14428 ns P2(a&&b):: C = 1 +14430 ns P1(a||b):: C = 1 +14430 ns P2(a||b):: C = 0 +14434 ns P1(a&&b):: C = 0 +14434 ns P2(a&&b):: C = 1 +14436 ns P1(a||b):: C = 1 +14436 ns P2(a||b):: C = 0 +14440 ns P1(a&&b):: C = 0 +14440 ns P2(a&&b):: C = 1 +14442 ns P1(a||b):: C = 1 +14442 ns P2(a||b):: C = 0 +14446 ns P1(a&&b):: C = 1 +14446 ns P2(a&&b):: C = 0 +14448 ns P1(a||b):: C = 1 +14448 ns P2(a||b):: C = 0 +14452 ns P1(a&&b):: C = 0 +14452 ns P2(a&&b):: C = 1 +14454 ns P1(a||b):: C = 1 +14454 ns P2(a||b):: C = 0 +14458 ns P1(a&&b):: C = 0 +14458 ns P2(a&&b):: C = 1 +14460 ns P1(a||b):: C = 1 +14460 ns P2(a||b):: C = 0 +14464 ns P1(a&&b):: C = 0 +14464 ns P2(a&&b):: C = 1 +14466 ns P1(a||b):: C = 1 +14466 ns P2(a||b):: C = 0 +14470 ns P1(a&&b):: C = 0 +14470 ns P2(a&&b):: C = 1 +14472 ns P1(a||b):: C = 1 +14472 ns P2(a||b):: C = 0 +14476 ns P1(a&&b):: C = 0 +14476 ns P2(a&&b):: C = 1 +14478 ns P1(a||b):: C = 1 +14478 ns P2(a||b):: C = 0 +14482 ns P1(a&&b):: C = 1 +14482 ns P2(a&&b):: C = 0 +14484 ns P1(a||b):: C = 1 +14484 ns P2(a||b):: C = 0 +14488 ns P1(a&&b):: C = 1 +14488 ns P2(a&&b):: C = 0 +14490 ns P1(a||b):: C = 1 +14490 ns P2(a||b):: C = 0 +14494 ns P1(a&&b):: C = 0 +14494 ns P2(a&&b):: C = 1 +14496 ns P1(a||b):: C = 0 +14496 ns P2(a||b):: C = 1 +14500 ns P1(a&&b):: C = 0 +14500 ns P2(a&&b):: C = 1 +14502 ns P1(a||b):: C = 0 +14502 ns P2(a||b):: C = 1 +14506 ns P1(a&&b):: C = 0 +14506 ns P2(a&&b):: C = 1 +14508 ns P1(a||b):: C = 1 +14508 ns P2(a||b):: C = 0 +14512 ns P1(a&&b):: C = 0 +14512 ns P2(a&&b):: C = 1 +14514 ns P1(a||b):: C = 1 +14514 ns P2(a||b):: C = 0 +14518 ns P1(a&&b):: C = 0 +14518 ns P2(a&&b):: C = 1 +14520 ns P1(a||b):: C = 1 +14520 ns P2(a||b):: C = 0 +14524 ns P1(a&&b):: C = 0 +14524 ns P2(a&&b):: C = 1 +14526 ns P1(a||b):: C = 1 +14526 ns P2(a||b):: C = 0 +14530 ns P1(a&&b):: C = 0 +14530 ns P2(a&&b):: C = 1 +14532 ns P1(a||b):: C = 1 +14532 ns P2(a||b):: C = 0 +14536 ns P1(a&&b):: C = 0 +14536 ns P2(a&&b):: C = 1 +14538 ns P1(a||b):: C = 1 +14538 ns P2(a||b):: C = 0 +14542 ns P1(a&&b):: C = 1 +14542 ns P2(a&&b):: C = 0 +14544 ns P1(a||b):: C = 1 +14544 ns P2(a||b):: C = 0 +14548 ns P1(a&&b):: C = 0 +14548 ns P2(a&&b):: C = 1 +14550 ns P1(a||b):: C = 1 +14550 ns P2(a||b):: C = 0 +14554 ns P1(a&&b):: C = 0 +14554 ns P2(a&&b):: C = 1 +14556 ns P1(a||b):: C = 0 +14556 ns P2(a||b):: C = 1 +14560 ns P1(a&&b):: C = 1 +14560 ns P2(a&&b):: C = 0 +14562 ns P1(a||b):: C = 1 +14562 ns P2(a||b):: C = 0 +14566 ns P1(a&&b):: C = 1 +14566 ns P2(a&&b):: C = 0 +14568 ns P1(a||b):: C = 1 +14568 ns P2(a||b):: C = 0 +14572 ns P1(a&&b):: C = 1 +14572 ns P2(a&&b):: C = 0 +14574 ns P1(a||b):: C = 1 +14574 ns P2(a||b):: C = 0 +14578 ns P1(a&&b):: C = 0 +14578 ns P2(a&&b):: C = 1 +14580 ns P1(a||b):: C = 1 +14580 ns P2(a||b):: C = 0 +14584 ns P1(a&&b):: C = 0 +14584 ns P2(a&&b):: C = 1 +14586 ns P1(a||b):: C = 0 +14586 ns P2(a||b):: C = 1 +14590 ns P1(a&&b):: C = 0 +14590 ns P2(a&&b):: C = 1 +14592 ns P1(a||b):: C = 1 +14592 ns P2(a||b):: C = 0 +14596 ns P1(a&&b):: C = 0 +14596 ns P2(a&&b):: C = 1 +14598 ns P1(a||b):: C = 1 +14598 ns P2(a||b):: C = 0 +14602 ns P1(a&&b):: C = 0 +14602 ns P2(a&&b):: C = 1 +14604 ns P1(a||b):: C = 0 +14604 ns P2(a||b):: C = 1 +14608 ns P1(a&&b):: C = 0 +14608 ns P2(a&&b):: C = 1 +14610 ns P1(a||b):: C = 1 +14610 ns P2(a||b):: C = 0 +14614 ns P1(a&&b):: C = 0 +14614 ns P2(a&&b):: C = 1 +14616 ns P1(a||b):: C = 1 +14616 ns P2(a||b):: C = 0 +14620 ns P1(a&&b):: C = 0 +14620 ns P2(a&&b):: C = 1 +14622 ns P1(a||b):: C = 1 +14622 ns P2(a||b):: C = 0 +14626 ns P1(a&&b):: C = 0 +14626 ns P2(a&&b):: C = 1 +14628 ns P1(a||b):: C = 1 +14628 ns P2(a||b):: C = 0 +14632 ns P1(a&&b):: C = 0 +14632 ns P2(a&&b):: C = 1 +14634 ns P1(a||b):: C = 1 +14634 ns P2(a||b):: C = 0 +14638 ns P1(a&&b):: C = 0 +14638 ns P2(a&&b):: C = 1 +14640 ns P1(a||b):: C = 0 +14640 ns P2(a||b):: C = 1 +14644 ns P1(a&&b):: C = 0 +14644 ns P2(a&&b):: C = 1 +14646 ns P1(a||b):: C = 0 +14646 ns P2(a||b):: C = 1 +14650 ns P1(a&&b):: C = 0 +14650 ns P2(a&&b):: C = 1 +14652 ns P1(a||b):: C = 1 +14652 ns P2(a||b):: C = 0 +14656 ns P1(a&&b):: C = 0 +14656 ns P2(a&&b):: C = 1 +14658 ns P1(a||b):: C = 1 +14658 ns P2(a||b):: C = 0 +14662 ns P1(a&&b):: C = 0 +14662 ns P2(a&&b):: C = 1 +14664 ns P1(a||b):: C = 1 +14664 ns P2(a||b):: C = 0 +14668 ns P1(a&&b):: C = 0 +14668 ns P2(a&&b):: C = 1 +14670 ns P1(a||b):: C = 1 +14670 ns P2(a||b):: C = 0 +14674 ns P1(a&&b):: C = 0 +14674 ns P2(a&&b):: C = 1 +14676 ns P1(a||b):: C = 1 +14676 ns P2(a||b):: C = 0 +14680 ns P1(a&&b):: C = 0 +14680 ns P2(a&&b):: C = 1 +14682 ns P1(a||b):: C = 1 +14682 ns P2(a||b):: C = 0 +14686 ns P1(a&&b):: C = 1 +14686 ns P2(a&&b):: C = 0 +14688 ns P1(a||b):: C = 1 +14688 ns P2(a||b):: C = 0 +14692 ns P1(a&&b):: C = 0 +14692 ns P2(a&&b):: C = 1 +14694 ns P1(a||b):: C = 1 +14694 ns P2(a||b):: C = 0 +14698 ns P1(a&&b):: C = 0 +14698 ns P2(a&&b):: C = 1 +14700 ns P1(a||b):: C = 0 +14700 ns P2(a||b):: C = 1 +14704 ns P1(a&&b):: C = 0 +14704 ns P2(a&&b):: C = 1 +14706 ns P1(a||b):: C = 1 +14706 ns P2(a||b):: C = 0 +14710 ns P1(a&&b):: C = 0 +14710 ns P2(a&&b):: C = 1 +14712 ns P1(a||b):: C = 1 +14712 ns P2(a||b):: C = 0 +14716 ns P1(a&&b):: C = 0 +14716 ns P2(a&&b):: C = 1 +14718 ns P1(a||b):: C = 1 +14718 ns P2(a||b):: C = 0 +14722 ns P1(a&&b):: C = 1 +14722 ns P2(a&&b):: C = 0 +14724 ns P1(a||b):: C = 1 +14724 ns P2(a||b):: C = 0 +14728 ns P1(a&&b):: C = 1 +14728 ns P2(a&&b):: C = 0 +14730 ns P1(a||b):: C = 0 +14730 ns P2(a||b):: C = 1 +14734 ns P1(a&&b):: C = 0 +14734 ns P2(a&&b):: C = 1 +14736 ns P1(a||b):: C = 1 +14736 ns P2(a||b):: C = 0 +14740 ns P1(a&&b):: C = 0 +14740 ns P2(a&&b):: C = 1 +14742 ns P1(a||b):: C = 1 +14742 ns P2(a||b):: C = 0 +14746 ns P1(a&&b):: C = 0 +14746 ns P2(a&&b):: C = 1 +14748 ns P1(a||b):: C = 1 +14748 ns P2(a||b):: C = 0 +14752 ns P1(a&&b):: C = 0 +14752 ns P2(a&&b):: C = 1 +14754 ns P1(a||b):: C = 1 +14754 ns P2(a||b):: C = 0 +14758 ns P1(a&&b):: C = 1 +14758 ns P2(a&&b):: C = 0 +14760 ns P1(a||b):: C = 0 +14760 ns P2(a||b):: C = 1 +14764 ns P1(a&&b):: C = 1 +14764 ns P2(a&&b):: C = 0 +14766 ns P1(a||b):: C = 1 +14766 ns P2(a||b):: C = 0 +14770 ns P1(a&&b):: C = 0 +14770 ns P2(a&&b):: C = 1 +14772 ns P1(a||b):: C = 0 +14772 ns P2(a||b):: C = 1 +14776 ns P1(a&&b):: C = 0 +14776 ns P2(a&&b):: C = 1 +14778 ns P1(a||b):: C = 1 +14778 ns P2(a||b):: C = 0 +14782 ns P1(a&&b):: C = 0 +14782 ns P2(a&&b):: C = 1 +14784 ns P1(a||b):: C = 0 +14784 ns P2(a||b):: C = 1 +14788 ns P1(a&&b):: C = 1 +14788 ns P2(a&&b):: C = 0 +14790 ns P1(a||b):: C = 1 +14790 ns P2(a||b):: C = 0 +14794 ns P1(a&&b):: C = 1 +14794 ns P2(a&&b):: C = 0 +14796 ns P1(a||b):: C = 1 +14796 ns P2(a||b):: C = 0 +14800 ns P1(a&&b):: C = 0 +14800 ns P2(a&&b):: C = 1 +14802 ns P1(a||b):: C = 1 +14802 ns P2(a||b):: C = 0 +14806 ns P1(a&&b):: C = 1 +14806 ns P2(a&&b):: C = 0 +14808 ns P1(a||b):: C = 1 +14808 ns P2(a||b):: C = 0 +14812 ns P1(a&&b):: C = 0 +14812 ns P2(a&&b):: C = 1 +14814 ns P1(a||b):: C = 1 +14814 ns P2(a||b):: C = 0 +14818 ns P1(a&&b):: C = 0 +14818 ns P2(a&&b):: C = 1 +14820 ns P1(a||b):: C = 1 +14820 ns P2(a||b):: C = 0 +14824 ns P1(a&&b):: C = 1 +14824 ns P2(a&&b):: C = 0 +14826 ns P1(a||b):: C = 1 +14826 ns P2(a||b):: C = 0 +14830 ns P1(a&&b):: C = 1 +14830 ns P2(a&&b):: C = 0 +14832 ns P1(a||b):: C = 1 +14832 ns P2(a||b):: C = 0 +14836 ns P1(a&&b):: C = 0 +14836 ns P2(a&&b):: C = 1 +14838 ns P1(a||b):: C = 1 +14838 ns P2(a||b):: C = 0 +14842 ns P1(a&&b):: C = 0 +14842 ns P2(a&&b):: C = 1 +14844 ns P1(a||b):: C = 0 +14844 ns P2(a||b):: C = 1 +14848 ns P1(a&&b):: C = 1 +14848 ns P2(a&&b):: C = 0 +14850 ns P1(a||b):: C = 1 +14850 ns P2(a||b):: C = 0 +14854 ns P1(a&&b):: C = 1 +14854 ns P2(a&&b):: C = 0 +14856 ns P1(a||b):: C = 1 +14856 ns P2(a||b):: C = 0 +14860 ns P1(a&&b):: C = 0 +14860 ns P2(a&&b):: C = 1 +14862 ns P1(a||b):: C = 0 +14862 ns P2(a||b):: C = 1 +14866 ns P1(a&&b):: C = 0 +14866 ns P2(a&&b):: C = 1 +14868 ns P1(a||b):: C = 1 +14868 ns P2(a||b):: C = 0 +14872 ns P1(a&&b):: C = 0 +14872 ns P2(a&&b):: C = 1 +14874 ns P1(a||b):: C = 1 +14874 ns P2(a||b):: C = 0 +14878 ns P1(a&&b):: C = 0 +14878 ns P2(a&&b):: C = 1 +14880 ns P1(a||b):: C = 1 +14880 ns P2(a||b):: C = 0 +14884 ns P1(a&&b):: C = 0 +14884 ns P2(a&&b):: C = 1 +14886 ns P1(a||b):: C = 1 +14886 ns P2(a||b):: C = 0 +14890 ns P1(a&&b):: C = 0 +14890 ns P2(a&&b):: C = 1 +14892 ns P1(a||b):: C = 1 +14892 ns P2(a||b):: C = 0 +14896 ns P1(a&&b):: C = 0 +14896 ns P2(a&&b):: C = 1 +14898 ns P1(a||b):: C = 1 +14898 ns P2(a||b):: C = 0 +14902 ns P1(a&&b):: C = 0 +14902 ns P2(a&&b):: C = 1 +14904 ns P1(a||b):: C = 1 +14904 ns P2(a||b):: C = 0 +14908 ns P1(a&&b):: C = 1 +14908 ns P2(a&&b):: C = 0 +14910 ns P1(a||b):: C = 1 +14910 ns P2(a||b):: C = 0 +14914 ns P1(a&&b):: C = 1 +14914 ns P2(a&&b):: C = 0 +14916 ns P1(a||b):: C = 1 +14916 ns P2(a||b):: C = 0 +14920 ns P1(a&&b):: C = 0 +14920 ns P2(a&&b):: C = 1 +14922 ns P1(a||b):: C = 1 +14922 ns P2(a||b):: C = 0 +14926 ns P1(a&&b):: C = 0 +14926 ns P2(a&&b):: C = 1 +14928 ns P1(a||b):: C = 1 +14928 ns P2(a||b):: C = 0 +14932 ns P1(a&&b):: C = 1 +14932 ns P2(a&&b):: C = 0 +14934 ns P1(a||b):: C = 0 +14934 ns P2(a||b):: C = 1 +14938 ns P1(a&&b):: C = 0 +14938 ns P2(a&&b):: C = 1 +14940 ns P1(a||b):: C = 1 +14940 ns P2(a||b):: C = 0 +14944 ns P1(a&&b):: C = 0 +14944 ns P2(a&&b):: C = 1 +14946 ns P1(a||b):: C = 1 +14946 ns P2(a||b):: C = 0 +14950 ns P1(a&&b):: C = 0 +14950 ns P2(a&&b):: C = 1 +14952 ns P1(a||b):: C = 1 +14952 ns P2(a||b):: C = 0 +14956 ns P1(a&&b):: C = 0 +14956 ns P2(a&&b):: C = 1 +14958 ns P1(a||b):: C = 1 +14958 ns P2(a||b):: C = 0 +14962 ns P1(a&&b):: C = 0 +14962 ns P2(a&&b):: C = 1 +14964 ns P1(a||b):: C = 1 +14964 ns P2(a||b):: C = 0 +14968 ns P1(a&&b):: C = 0 +14968 ns P2(a&&b):: C = 1 +14970 ns P1(a||b):: C = 1 +14970 ns P2(a||b):: C = 0 +14974 ns P1(a&&b):: C = 1 +14974 ns P2(a&&b):: C = 0 +14976 ns P1(a||b):: C = 1 +14976 ns P2(a||b):: C = 0 +14980 ns P1(a&&b):: C = 0 +14980 ns P2(a&&b):: C = 1 +14982 ns P1(a||b):: C = 0 +14982 ns P2(a||b):: C = 1 +14986 ns P1(a&&b):: C = 0 +14986 ns P2(a&&b):: C = 1 +14988 ns P1(a||b):: C = 0 +14988 ns P2(a||b):: C = 1 +14992 ns P1(a&&b):: C = 1 +14992 ns P2(a&&b):: C = 0 +14994 ns P1(a||b):: C = 1 +14994 ns P2(a||b):: C = 0 +14998 ns P1(a&&b):: C = 0 +14998 ns P2(a&&b):: C = 1 +15 us P1(a||b):: C = 0 +15 us P2(a||b):: C = 1 +15004 ns P1(a&&b):: C = 0 +15004 ns P2(a&&b):: C = 1 +15006 ns P1(a||b):: C = 0 +15006 ns P2(a||b):: C = 1 +15010 ns P1(a&&b):: C = 1 +15010 ns P2(a&&b):: C = 0 +15012 ns P1(a||b):: C = 1 +15012 ns P2(a||b):: C = 0 +15016 ns P1(a&&b):: C = 0 +15016 ns P2(a&&b):: C = 1 +15018 ns P1(a||b):: C = 0 +15018 ns P2(a||b):: C = 1 +15022 ns P1(a&&b):: C = 0 +15022 ns P2(a&&b):: C = 1 +15024 ns P1(a||b):: C = 1 +15024 ns P2(a||b):: C = 0 +15028 ns P1(a&&b):: C = 0 +15028 ns P2(a&&b):: C = 1 +15030 ns P1(a||b):: C = 0 +15030 ns P2(a||b):: C = 1 +15034 ns P1(a&&b):: C = 0 +15034 ns P2(a&&b):: C = 1 +15036 ns P1(a||b):: C = 0 +15036 ns P2(a||b):: C = 1 +15040 ns P1(a&&b):: C = 0 +15040 ns P2(a&&b):: C = 1 +15042 ns P1(a||b):: C = 0 +15042 ns P2(a||b):: C = 1 +15046 ns P1(a&&b):: C = 0 +15046 ns P2(a&&b):: C = 1 +15048 ns P1(a||b):: C = 1 +15048 ns P2(a||b):: C = 0 +15052 ns P1(a&&b):: C = 0 +15052 ns P2(a&&b):: C = 1 +15054 ns P1(a||b):: C = 1 +15054 ns P2(a||b):: C = 0 +15058 ns P1(a&&b):: C = 0 +15058 ns P2(a&&b):: C = 1 +15060 ns P1(a||b):: C = 1 +15060 ns P2(a||b):: C = 0 +15064 ns P1(a&&b):: C = 1 +15064 ns P2(a&&b):: C = 0 +15066 ns P1(a||b):: C = 1 +15066 ns P2(a||b):: C = 0 +15070 ns P1(a&&b):: C = 0 +15070 ns P2(a&&b):: C = 1 +15072 ns P1(a||b):: C = 1 +15072 ns P2(a||b):: C = 0 +15076 ns P1(a&&b):: C = 0 +15076 ns P2(a&&b):: C = 1 +15078 ns P1(a||b):: C = 1 +15078 ns P2(a||b):: C = 0 +15082 ns P1(a&&b):: C = 0 +15082 ns P2(a&&b):: C = 1 +15084 ns P1(a||b):: C = 1 +15084 ns P2(a||b):: C = 0 +15088 ns P1(a&&b):: C = 1 +15088 ns P2(a&&b):: C = 0 +15090 ns P1(a||b):: C = 1 +15090 ns P2(a||b):: C = 0 +15094 ns P1(a&&b):: C = 1 +15094 ns P2(a&&b):: C = 0 +15096 ns P1(a||b):: C = 0 +15096 ns P2(a||b):: C = 1 +15100 ns P1(a&&b):: C = 0 +15100 ns P2(a&&b):: C = 1 +15102 ns P1(a||b):: C = 1 +15102 ns P2(a||b):: C = 0 +15106 ns P1(a&&b):: C = 0 +15106 ns P2(a&&b):: C = 1 +15108 ns P1(a||b):: C = 1 +15108 ns P2(a||b):: C = 0 +15112 ns P1(a&&b):: C = 1 +15112 ns P2(a&&b):: C = 0 +15114 ns P1(a||b):: C = 1 +15114 ns P2(a||b):: C = 0 +15118 ns P1(a&&b):: C = 1 +15118 ns P2(a&&b):: C = 0 +15120 ns P1(a||b):: C = 0 +15120 ns P2(a||b):: C = 1 +15124 ns P1(a&&b):: C = 1 +15124 ns P2(a&&b):: C = 0 +15126 ns P1(a||b):: C = 1 +15126 ns P2(a||b):: C = 0 +15130 ns P1(a&&b):: C = 0 +15130 ns P2(a&&b):: C = 1 +15132 ns P1(a||b):: C = 0 +15132 ns P2(a||b):: C = 1 +15136 ns P1(a&&b):: C = 1 +15136 ns P2(a&&b):: C = 0 +15138 ns P1(a||b):: C = 1 +15138 ns P2(a||b):: C = 0 +15142 ns P1(a&&b):: C = 1 +15142 ns P2(a&&b):: C = 0 +15144 ns P1(a||b):: C = 0 +15144 ns P2(a||b):: C = 1 +15148 ns P1(a&&b):: C = 1 +15148 ns P2(a&&b):: C = 0 +15150 ns P1(a||b):: C = 1 +15150 ns P2(a||b):: C = 0 +15154 ns P1(a&&b):: C = 0 +15154 ns P2(a&&b):: C = 1 +15156 ns P1(a||b):: C = 0 +15156 ns P2(a||b):: C = 1 +15160 ns P1(a&&b):: C = 0 +15160 ns P2(a&&b):: C = 1 +15162 ns P1(a||b):: C = 1 +15162 ns P2(a||b):: C = 0 +15166 ns P1(a&&b):: C = 0 +15166 ns P2(a&&b):: C = 1 +15168 ns P1(a||b):: C = 1 +15168 ns P2(a||b):: C = 0 +15172 ns P1(a&&b):: C = 0 +15172 ns P2(a&&b):: C = 1 +15174 ns P1(a||b):: C = 1 +15174 ns P2(a||b):: C = 0 +15178 ns P1(a&&b):: C = 1 +15178 ns P2(a&&b):: C = 0 +15180 ns P1(a||b):: C = 0 +15180 ns P2(a||b):: C = 1 +15184 ns P1(a&&b):: C = 1 +15184 ns P2(a&&b):: C = 0 +15186 ns P1(a||b):: C = 1 +15186 ns P2(a||b):: C = 0 +15190 ns P1(a&&b):: C = 0 +15190 ns P2(a&&b):: C = 1 +15192 ns P1(a||b):: C = 1 +15192 ns P2(a||b):: C = 0 +15196 ns P1(a&&b):: C = 1 +15196 ns P2(a&&b):: C = 0 +15198 ns P1(a||b):: C = 1 +15198 ns P2(a||b):: C = 0 +15202 ns P1(a&&b):: C = 0 +15202 ns P2(a&&b):: C = 1 +15204 ns P1(a||b):: C = 1 +15204 ns P2(a||b):: C = 0 +15208 ns P1(a&&b):: C = 1 +15208 ns P2(a&&b):: C = 0 +15210 ns P1(a||b):: C = 1 +15210 ns P2(a||b):: C = 0 +15214 ns P1(a&&b):: C = 0 +15214 ns P2(a&&b):: C = 1 +15216 ns P1(a||b):: C = 1 +15216 ns P2(a||b):: C = 0 +15220 ns P1(a&&b):: C = 1 +15220 ns P2(a&&b):: C = 0 +15222 ns P1(a||b):: C = 1 +15222 ns P2(a||b):: C = 0 +15226 ns P1(a&&b):: C = 0 +15226 ns P2(a&&b):: C = 1 +15228 ns P1(a||b):: C = 1 +15228 ns P2(a||b):: C = 0 +15232 ns P1(a&&b):: C = 0 +15232 ns P2(a&&b):: C = 1 +15234 ns P1(a||b):: C = 1 +15234 ns P2(a||b):: C = 0 +15238 ns P1(a&&b):: C = 0 +15238 ns P2(a&&b):: C = 1 +15240 ns P1(a||b):: C = 1 +15240 ns P2(a||b):: C = 0 +15244 ns P1(a&&b):: C = 0 +15244 ns P2(a&&b):: C = 1 +15246 ns P1(a||b):: C = 1 +15246 ns P2(a||b):: C = 0 +15250 ns P1(a&&b):: C = 0 +15250 ns P2(a&&b):: C = 1 +15252 ns P1(a||b):: C = 0 +15252 ns P2(a||b):: C = 1 +15256 ns P1(a&&b):: C = 0 +15256 ns P2(a&&b):: C = 1 +15258 ns P1(a||b):: C = 0 +15258 ns P2(a||b):: C = 1 +15262 ns P1(a&&b):: C = 0 +15262 ns P2(a&&b):: C = 1 +15264 ns P1(a||b):: C = 1 +15264 ns P2(a||b):: C = 0 +15268 ns P1(a&&b):: C = 0 +15268 ns P2(a&&b):: C = 1 +15270 ns P1(a||b):: C = 0 +15270 ns P2(a||b):: C = 1 +15274 ns P1(a&&b):: C = 1 +15274 ns P2(a&&b):: C = 0 +15276 ns P1(a||b):: C = 1 +15276 ns P2(a||b):: C = 0 +15280 ns P1(a&&b):: C = 0 +15280 ns P2(a&&b):: C = 1 +15282 ns P1(a||b):: C = 1 +15282 ns P2(a||b):: C = 0 +15286 ns P1(a&&b):: C = 0 +15286 ns P2(a&&b):: C = 1 +15288 ns P1(a||b):: C = 0 +15288 ns P2(a||b):: C = 1 +15292 ns P1(a&&b):: C = 1 +15292 ns P2(a&&b):: C = 0 +15294 ns P1(a||b):: C = 1 +15294 ns P2(a||b):: C = 0 +15298 ns P1(a&&b):: C = 0 +15298 ns P2(a&&b):: C = 1 +15300 ns P1(a||b):: C = 1 +15300 ns P2(a||b):: C = 0 +15304 ns P1(a&&b):: C = 0 +15304 ns P2(a&&b):: C = 1 +15306 ns P1(a||b):: C = 1 +15306 ns P2(a||b):: C = 0 +15310 ns P1(a&&b):: C = 0 +15310 ns P2(a&&b):: C = 1 +15312 ns P1(a||b):: C = 1 +15312 ns P2(a||b):: C = 0 +15316 ns P1(a&&b):: C = 1 +15316 ns P2(a&&b):: C = 0 +15318 ns P1(a||b):: C = 0 +15318 ns P2(a||b):: C = 1 +15322 ns P1(a&&b):: C = 0 +15322 ns P2(a&&b):: C = 1 +15324 ns P1(a||b):: C = 1 +15324 ns P2(a||b):: C = 0 +15328 ns P1(a&&b):: C = 0 +15328 ns P2(a&&b):: C = 1 +15330 ns P1(a||b):: C = 1 +15330 ns P2(a||b):: C = 0 +15334 ns P1(a&&b):: C = 0 +15334 ns P2(a&&b):: C = 1 +15336 ns P1(a||b):: C = 0 +15336 ns P2(a||b):: C = 1 +15340 ns P1(a&&b):: C = 0 +15340 ns P2(a&&b):: C = 1 +15342 ns P1(a||b):: C = 1 +15342 ns P2(a||b):: C = 0 +15346 ns P1(a&&b):: C = 1 +15346 ns P2(a&&b):: C = 0 +15348 ns P1(a||b):: C = 0 +15348 ns P2(a||b):: C = 1 +15352 ns P1(a&&b):: C = 0 +15352 ns P2(a&&b):: C = 1 +15354 ns P1(a||b):: C = 1 +15354 ns P2(a||b):: C = 0 +15358 ns P1(a&&b):: C = 0 +15358 ns P2(a&&b):: C = 1 +15360 ns P1(a||b):: C = 1 +15360 ns P2(a||b):: C = 0 +15364 ns P1(a&&b):: C = 0 +15364 ns P2(a&&b):: C = 1 +15366 ns P1(a||b):: C = 1 +15366 ns P2(a||b):: C = 0 +15370 ns P1(a&&b):: C = 1 +15370 ns P2(a&&b):: C = 0 +15372 ns P1(a||b):: C = 0 +15372 ns P2(a||b):: C = 1 +15376 ns P1(a&&b):: C = 0 +15376 ns P2(a&&b):: C = 1 +15378 ns P1(a||b):: C = 1 +15378 ns P2(a||b):: C = 0 +15382 ns P1(a&&b):: C = 0 +15382 ns P2(a&&b):: C = 1 +15384 ns P1(a||b):: C = 1 +15384 ns P2(a||b):: C = 0 +15388 ns P1(a&&b):: C = 0 +15388 ns P2(a&&b):: C = 1 +15390 ns P1(a||b):: C = 1 +15390 ns P2(a||b):: C = 0 +15394 ns P1(a&&b):: C = 0 +15394 ns P2(a&&b):: C = 1 +15396 ns P1(a||b):: C = 0 +15396 ns P2(a||b):: C = 1 +15400 ns P1(a&&b):: C = 0 +15400 ns P2(a&&b):: C = 1 +15402 ns P1(a||b):: C = 0 +15402 ns P2(a||b):: C = 1 +15406 ns P1(a&&b):: C = 0 +15406 ns P2(a&&b):: C = 1 +15408 ns P1(a||b):: C = 0 +15408 ns P2(a||b):: C = 1 +15412 ns P1(a&&b):: C = 0 +15412 ns P2(a&&b):: C = 1 +15414 ns P1(a||b):: C = 1 +15414 ns P2(a||b):: C = 0 +15418 ns P1(a&&b):: C = 0 +15418 ns P2(a&&b):: C = 1 +15420 ns P1(a||b):: C = 0 +15420 ns P2(a||b):: C = 1 +15424 ns P1(a&&b):: C = 0 +15424 ns P2(a&&b):: C = 1 +15426 ns P1(a||b):: C = 1 +15426 ns P2(a||b):: C = 0 +15430 ns P1(a&&b):: C = 0 +15430 ns P2(a&&b):: C = 1 +15432 ns P1(a||b):: C = 1 +15432 ns P2(a||b):: C = 0 +15436 ns P1(a&&b):: C = 0 +15436 ns P2(a&&b):: C = 1 +15438 ns P1(a||b):: C = 1 +15438 ns P2(a||b):: C = 0 +15442 ns P1(a&&b):: C = 0 +15442 ns P2(a&&b):: C = 1 +15444 ns P1(a||b):: C = 0 +15444 ns P2(a||b):: C = 1 +15448 ns P1(a&&b):: C = 1 +15448 ns P2(a&&b):: C = 0 +15450 ns P1(a||b):: C = 1 +15450 ns P2(a||b):: C = 0 +15454 ns P1(a&&b):: C = 0 +15454 ns P2(a&&b):: C = 1 +15456 ns P1(a||b):: C = 1 +15456 ns P2(a||b):: C = 0 +15460 ns P1(a&&b):: C = 0 +15460 ns P2(a&&b):: C = 1 +15462 ns P1(a||b):: C = 0 +15462 ns P2(a||b):: C = 1 +15466 ns P1(a&&b):: C = 0 +15466 ns P2(a&&b):: C = 1 +15468 ns P1(a||b):: C = 1 +15468 ns P2(a||b):: C = 0 +15472 ns P1(a&&b):: C = 0 +15472 ns P2(a&&b):: C = 1 +15474 ns P1(a||b):: C = 1 +15474 ns P2(a||b):: C = 0 +15478 ns P1(a&&b):: C = 0 +15478 ns P2(a&&b):: C = 1 +15480 ns P1(a||b):: C = 1 +15480 ns P2(a||b):: C = 0 +15484 ns P1(a&&b):: C = 0 +15484 ns P2(a&&b):: C = 1 +15486 ns P1(a||b):: C = 1 +15486 ns P2(a||b):: C = 0 +15490 ns P1(a&&b):: C = 0 +15490 ns P2(a&&b):: C = 1 +15492 ns P1(a||b):: C = 1 +15492 ns P2(a||b):: C = 0 +15496 ns P1(a&&b):: C = 0 +15496 ns P2(a&&b):: C = 1 +15498 ns P1(a||b):: C = 1 +15498 ns P2(a||b):: C = 0 +15502 ns P1(a&&b):: C = 1 +15502 ns P2(a&&b):: C = 0 +15504 ns P1(a||b):: C = 0 +15504 ns P2(a||b):: C = 1 +15508 ns P1(a&&b):: C = 0 +15508 ns P2(a&&b):: C = 1 +15510 ns P1(a||b):: C = 1 +15510 ns P2(a||b):: C = 0 +15514 ns P1(a&&b):: C = 1 +15514 ns P2(a&&b):: C = 0 +15516 ns P1(a||b):: C = 1 +15516 ns P2(a||b):: C = 0 +15520 ns P1(a&&b):: C = 0 +15520 ns P2(a&&b):: C = 1 +15522 ns P1(a||b):: C = 1 +15522 ns P2(a||b):: C = 0 +15526 ns P1(a&&b):: C = 0 +15526 ns P2(a&&b):: C = 1 +15528 ns P1(a||b):: C = 0 +15528 ns P2(a||b):: C = 1 +15532 ns P1(a&&b):: C = 0 +15532 ns P2(a&&b):: C = 1 +15534 ns P1(a||b):: C = 1 +15534 ns P2(a||b):: C = 0 +15538 ns P1(a&&b):: C = 1 +15538 ns P2(a&&b):: C = 0 +15540 ns P1(a||b):: C = 1 +15540 ns P2(a||b):: C = 0 +15544 ns P1(a&&b):: C = 0 +15544 ns P2(a&&b):: C = 1 +15546 ns P1(a||b):: C = 1 +15546 ns P2(a||b):: C = 0 +15550 ns P1(a&&b):: C = 0 +15550 ns P2(a&&b):: C = 1 +15552 ns P1(a||b):: C = 1 +15552 ns P2(a||b):: C = 0 +15556 ns P1(a&&b):: C = 1 +15556 ns P2(a&&b):: C = 0 +15558 ns P1(a||b):: C = 1 +15558 ns P2(a||b):: C = 0 +15562 ns P1(a&&b):: C = 0 +15562 ns P2(a&&b):: C = 1 +15564 ns P1(a||b):: C = 1 +15564 ns P2(a||b):: C = 0 +15568 ns P1(a&&b):: C = 0 +15568 ns P2(a&&b):: C = 1 +15570 ns P1(a||b):: C = 1 +15570 ns P2(a||b):: C = 0 +15574 ns P1(a&&b):: C = 0 +15574 ns P2(a&&b):: C = 1 +15576 ns P1(a||b):: C = 1 +15576 ns P2(a||b):: C = 0 +15580 ns P1(a&&b):: C = 0 +15580 ns P2(a&&b):: C = 1 +15582 ns P1(a||b):: C = 0 +15582 ns P2(a||b):: C = 1 +15586 ns P1(a&&b):: C = 0 +15586 ns P2(a&&b):: C = 1 +15588 ns P1(a||b):: C = 1 +15588 ns P2(a||b):: C = 0 +15592 ns P1(a&&b):: C = 0 +15592 ns P2(a&&b):: C = 1 +15594 ns P1(a||b):: C = 1 +15594 ns P2(a||b):: C = 0 +15598 ns P1(a&&b):: C = 1 +15598 ns P2(a&&b):: C = 0 +15600 ns P1(a||b):: C = 1 +15600 ns P2(a||b):: C = 0 +15604 ns P1(a&&b):: C = 0 +15604 ns P2(a&&b):: C = 1 +15606 ns P1(a||b):: C = 1 +15606 ns P2(a||b):: C = 0 +15610 ns P1(a&&b):: C = 0 +15610 ns P2(a&&b):: C = 1 +15612 ns P1(a||b):: C = 1 +15612 ns P2(a||b):: C = 0 +15616 ns P1(a&&b):: C = 0 +15616 ns P2(a&&b):: C = 1 +15618 ns P1(a||b):: C = 0 +15618 ns P2(a||b):: C = 1 +15622 ns P1(a&&b):: C = 0 +15622 ns P2(a&&b):: C = 1 +15624 ns P1(a||b):: C = 1 +15624 ns P2(a||b):: C = 0 +15628 ns P1(a&&b):: C = 0 +15628 ns P2(a&&b):: C = 1 +15630 ns P1(a||b):: C = 0 +15630 ns P2(a||b):: C = 1 +15634 ns P1(a&&b):: C = 0 +15634 ns P2(a&&b):: C = 1 +15636 ns P1(a||b):: C = 1 +15636 ns P2(a||b):: C = 0 +15640 ns P1(a&&b):: C = 0 +15640 ns P2(a&&b):: C = 1 +15642 ns P1(a||b):: C = 1 +15642 ns P2(a||b):: C = 0 +15646 ns P1(a&&b):: C = 0 +15646 ns P2(a&&b):: C = 1 +15648 ns P1(a||b):: C = 1 +15648 ns P2(a||b):: C = 0 +15652 ns P1(a&&b):: C = 0 +15652 ns P2(a&&b):: C = 1 +15654 ns P1(a||b):: C = 1 +15654 ns P2(a||b):: C = 0 +15658 ns P1(a&&b):: C = 0 +15658 ns P2(a&&b):: C = 1 +15660 ns P1(a||b):: C = 1 +15660 ns P2(a||b):: C = 0 +15664 ns P1(a&&b):: C = 0 +15664 ns P2(a&&b):: C = 1 +15666 ns P1(a||b):: C = 0 +15666 ns P2(a||b):: C = 1 +15670 ns P1(a&&b):: C = 0 +15670 ns P2(a&&b):: C = 1 +15672 ns P1(a||b):: C = 0 +15672 ns P2(a||b):: C = 1 +15676 ns P1(a&&b):: C = 0 +15676 ns P2(a&&b):: C = 1 +15678 ns P1(a||b):: C = 0 +15678 ns P2(a||b):: C = 1 +15682 ns P1(a&&b):: C = 1 +15682 ns P2(a&&b):: C = 0 +15684 ns P1(a||b):: C = 0 +15684 ns P2(a||b):: C = 1 +15688 ns P1(a&&b):: C = 0 +15688 ns P2(a&&b):: C = 1 +15690 ns P1(a||b):: C = 1 +15690 ns P2(a||b):: C = 0 +15694 ns P1(a&&b):: C = 0 +15694 ns P2(a&&b):: C = 1 +15696 ns P1(a||b):: C = 1 +15696 ns P2(a||b):: C = 0 +15700 ns P1(a&&b):: C = 0 +15700 ns P2(a&&b):: C = 1 +15702 ns P1(a||b):: C = 1 +15702 ns P2(a||b):: C = 0 +15706 ns P1(a&&b):: C = 0 +15706 ns P2(a&&b):: C = 1 +15708 ns P1(a||b):: C = 1 +15708 ns P2(a||b):: C = 0 +15712 ns P1(a&&b):: C = 0 +15712 ns P2(a&&b):: C = 1 +15714 ns P1(a||b):: C = 0 +15714 ns P2(a||b):: C = 1 +15718 ns P1(a&&b):: C = 0 +15718 ns P2(a&&b):: C = 1 +15720 ns P1(a||b):: C = 1 +15720 ns P2(a||b):: C = 0 +15724 ns P1(a&&b):: C = 0 +15724 ns P2(a&&b):: C = 1 +15726 ns P1(a||b):: C = 1 +15726 ns P2(a||b):: C = 0 +15730 ns P1(a&&b):: C = 1 +15730 ns P2(a&&b):: C = 0 +15732 ns P1(a||b):: C = 1 +15732 ns P2(a||b):: C = 0 +15736 ns P1(a&&b):: C = 1 +15736 ns P2(a&&b):: C = 0 +15738 ns P1(a||b):: C = 0 +15738 ns P2(a||b):: C = 1 +15742 ns P1(a&&b):: C = 1 +15742 ns P2(a&&b):: C = 0 +15744 ns P1(a||b):: C = 1 +15744 ns P2(a||b):: C = 0 +15748 ns P1(a&&b):: C = 1 +15748 ns P2(a&&b):: C = 0 +15750 ns P1(a||b):: C = 1 +15750 ns P2(a||b):: C = 0 +15754 ns P1(a&&b):: C = 0 +15754 ns P2(a&&b):: C = 1 +15756 ns P1(a||b):: C = 1 +15756 ns P2(a||b):: C = 0 +15760 ns P1(a&&b):: C = 0 +15760 ns P2(a&&b):: C = 1 +15762 ns P1(a||b):: C = 1 +15762 ns P2(a||b):: C = 0 +15766 ns P1(a&&b):: C = 0 +15766 ns P2(a&&b):: C = 1 +15768 ns P1(a||b):: C = 0 +15768 ns P2(a||b):: C = 1 +15772 ns P1(a&&b):: C = 1 +15772 ns P2(a&&b):: C = 0 +15774 ns P1(a||b):: C = 0 +15774 ns P2(a||b):: C = 1 +15778 ns P1(a&&b):: C = 0 +15778 ns P2(a&&b):: C = 1 +15780 ns P1(a||b):: C = 1 +15780 ns P2(a||b):: C = 0 +15784 ns P1(a&&b):: C = 0 +15784 ns P2(a&&b):: C = 1 +15786 ns P1(a||b):: C = 0 +15786 ns P2(a||b):: C = 1 +15790 ns P1(a&&b):: C = 0 +15790 ns P2(a&&b):: C = 1 +15792 ns P1(a||b):: C = 0 +15792 ns P2(a||b):: C = 1 +15796 ns P1(a&&b):: C = 0 +15796 ns P2(a&&b):: C = 1 +15798 ns P1(a||b):: C = 1 +15798 ns P2(a||b):: C = 0 +15802 ns P1(a&&b):: C = 0 +15802 ns P2(a&&b):: C = 1 +15804 ns P1(a||b):: C = 1 +15804 ns P2(a||b):: C = 0 +15808 ns P1(a&&b):: C = 0 +15808 ns P2(a&&b):: C = 1 +15810 ns P1(a||b):: C = 1 +15810 ns P2(a||b):: C = 0 +15814 ns P1(a&&b):: C = 0 +15814 ns P2(a&&b):: C = 1 +15816 ns P1(a||b):: C = 1 +15816 ns P2(a||b):: C = 0 +15820 ns P1(a&&b):: C = 0 +15820 ns P2(a&&b):: C = 1 +15822 ns P1(a||b):: C = 0 +15822 ns P2(a||b):: C = 1 +15826 ns P1(a&&b):: C = 0 +15826 ns P2(a&&b):: C = 1 +15828 ns P1(a||b):: C = 1 +15828 ns P2(a||b):: C = 0 +15832 ns P1(a&&b):: C = 0 +15832 ns P2(a&&b):: C = 1 +15834 ns P1(a||b):: C = 1 +15834 ns P2(a||b):: C = 0 +15838 ns P1(a&&b):: C = 0 +15838 ns P2(a&&b):: C = 1 +15840 ns P1(a||b):: C = 0 +15840 ns P2(a||b):: C = 1 +15844 ns P1(a&&b):: C = 0 +15844 ns P2(a&&b):: C = 1 +15846 ns P1(a||b):: C = 0 +15846 ns P2(a||b):: C = 1 +15850 ns P1(a&&b):: C = 0 +15850 ns P2(a&&b):: C = 1 +15852 ns P1(a||b):: C = 0 +15852 ns P2(a||b):: C = 1 +15856 ns P1(a&&b):: C = 0 +15856 ns P2(a&&b):: C = 1 +15858 ns P1(a||b):: C = 1 +15858 ns P2(a||b):: C = 0 +15862 ns P1(a&&b):: C = 0 +15862 ns P2(a&&b):: C = 1 +15864 ns P1(a||b):: C = 1 +15864 ns P2(a||b):: C = 0 +15868 ns P1(a&&b):: C = 0 +15868 ns P2(a&&b):: C = 1 +15870 ns P1(a||b):: C = 0 +15870 ns P2(a||b):: C = 1 +15874 ns P1(a&&b):: C = 0 +15874 ns P2(a&&b):: C = 1 +15876 ns P1(a||b):: C = 0 +15876 ns P2(a||b):: C = 1 +15880 ns P1(a&&b):: C = 1 +15880 ns P2(a&&b):: C = 0 +15882 ns P1(a||b):: C = 1 +15882 ns P2(a||b):: C = 0 +15886 ns P1(a&&b):: C = 0 +15886 ns P2(a&&b):: C = 1 +15888 ns P1(a||b):: C = 0 +15888 ns P2(a||b):: C = 1 +15892 ns P1(a&&b):: C = 0 +15892 ns P2(a&&b):: C = 1 +15894 ns P1(a||b):: C = 1 +15894 ns P2(a||b):: C = 0 +15898 ns P1(a&&b):: C = 1 +15898 ns P2(a&&b):: C = 0 +15900 ns P1(a||b):: C = 0 +15900 ns P2(a||b):: C = 1 +15904 ns P1(a&&b):: C = 1 +15904 ns P2(a&&b):: C = 0 +15906 ns P1(a||b):: C = 1 +15906 ns P2(a||b):: C = 0 +15910 ns P1(a&&b):: C = 0 +15910 ns P2(a&&b):: C = 1 +15912 ns P1(a||b):: C = 0 +15912 ns P2(a||b):: C = 1 +15916 ns P1(a&&b):: C = 0 +15916 ns P2(a&&b):: C = 1 +15918 ns P1(a||b):: C = 0 +15918 ns P2(a||b):: C = 1 +15922 ns P1(a&&b):: C = 1 +15922 ns P2(a&&b):: C = 0 +15924 ns P1(a||b):: C = 0 +15924 ns P2(a||b):: C = 1 +15928 ns P1(a&&b):: C = 0 +15928 ns P2(a&&b):: C = 1 +15930 ns P1(a||b):: C = 0 +15930 ns P2(a||b):: C = 1 +15934 ns P1(a&&b):: C = 1 +15934 ns P2(a&&b):: C = 0 +15936 ns P1(a||b):: C = 1 +15936 ns P2(a||b):: C = 0 +15940 ns P1(a&&b):: C = 0 +15940 ns P2(a&&b):: C = 1 +15942 ns P1(a||b):: C = 1 +15942 ns P2(a||b):: C = 0 +15946 ns P1(a&&b):: C = 1 +15946 ns P2(a&&b):: C = 0 +15948 ns P1(a||b):: C = 1 +15948 ns P2(a||b):: C = 0 +15952 ns P1(a&&b):: C = 0 +15952 ns P2(a&&b):: C = 1 +15954 ns P1(a||b):: C = 1 +15954 ns P2(a||b):: C = 0 +15958 ns P1(a&&b):: C = 0 +15958 ns P2(a&&b):: C = 1 +15960 ns P1(a||b):: C = 1 +15960 ns P2(a||b):: C = 0 +15964 ns P1(a&&b):: C = 1 +15964 ns P2(a&&b):: C = 0 +15966 ns P1(a||b):: C = 1 +15966 ns P2(a||b):: C = 0 +15970 ns P1(a&&b):: C = 0 +15970 ns P2(a&&b):: C = 1 +15972 ns P1(a||b):: C = 1 +15972 ns P2(a||b):: C = 0 +15976 ns P1(a&&b):: C = 0 +15976 ns P2(a&&b):: C = 1 +15978 ns P1(a||b):: C = 1 +15978 ns P2(a||b):: C = 0 +15982 ns P1(a&&b):: C = 1 +15982 ns P2(a&&b):: C = 0 +15984 ns P1(a||b):: C = 1 +15984 ns P2(a||b):: C = 0 +15988 ns P1(a&&b):: C = 0 +15988 ns P2(a&&b):: C = 1 +15990 ns P1(a||b):: C = 0 +15990 ns P2(a||b):: C = 1 +15994 ns P1(a&&b):: C = 0 +15994 ns P2(a&&b):: C = 1 +15996 ns P1(a||b):: C = 0 +15996 ns P2(a||b):: C = 1 +16 us P1(a&&b):: C = 1 +16 us P2(a&&b):: C = 0 +16002 ns P1(a||b):: C = 1 +16002 ns P2(a||b):: C = 0 +16006 ns P1(a&&b):: C = 0 +16006 ns P2(a&&b):: C = 1 +16008 ns P1(a||b):: C = 0 +16008 ns P2(a||b):: C = 1 +16012 ns P1(a&&b):: C = 1 +16012 ns P2(a&&b):: C = 0 +16014 ns P1(a||b):: C = 1 +16014 ns P2(a||b):: C = 0 +16018 ns P1(a&&b):: C = 0 +16018 ns P2(a&&b):: C = 1 +16020 ns P1(a||b):: C = 0 +16020 ns P2(a||b):: C = 1 +16024 ns P1(a&&b):: C = 0 +16024 ns P2(a&&b):: C = 1 +16026 ns P1(a||b):: C = 1 +16026 ns P2(a||b):: C = 0 +16030 ns P1(a&&b):: C = 0 +16030 ns P2(a&&b):: C = 1 +16032 ns P1(a||b):: C = 1 +16032 ns P2(a||b):: C = 0 +16036 ns P1(a&&b):: C = 1 +16036 ns P2(a&&b):: C = 0 +16038 ns P1(a||b):: C = 0 +16038 ns P2(a||b):: C = 1 +16042 ns P1(a&&b):: C = 0 +16042 ns P2(a&&b):: C = 1 +16044 ns P1(a||b):: C = 0 +16044 ns P2(a||b):: C = 1 +16048 ns P1(a&&b):: C = 0 +16048 ns P2(a&&b):: C = 1 +16050 ns P1(a||b):: C = 1 +16050 ns P2(a||b):: C = 0 +16054 ns P1(a&&b):: C = 0 +16054 ns P2(a&&b):: C = 1 +16056 ns P1(a||b):: C = 1 +16056 ns P2(a||b):: C = 0 +16060 ns P1(a&&b):: C = 0 +16060 ns P2(a&&b):: C = 1 +16062 ns P1(a||b):: C = 0 +16062 ns P2(a||b):: C = 1 +16066 ns P1(a&&b):: C = 1 +16066 ns P2(a&&b):: C = 0 +16068 ns P1(a||b):: C = 1 +16068 ns P2(a||b):: C = 0 +16072 ns P1(a&&b):: C = 0 +16072 ns P2(a&&b):: C = 1 +16074 ns P1(a||b):: C = 1 +16074 ns P2(a||b):: C = 0 +16078 ns P1(a&&b):: C = 0 +16078 ns P2(a&&b):: C = 1 +16080 ns P1(a||b):: C = 1 +16080 ns P2(a||b):: C = 0 +16084 ns P1(a&&b):: C = 1 +16084 ns P2(a&&b):: C = 0 +16086 ns P1(a||b):: C = 1 +16086 ns P2(a||b):: C = 0 +16090 ns P1(a&&b):: C = 1 +16090 ns P2(a&&b):: C = 0 +16092 ns P1(a||b):: C = 0 +16092 ns P2(a||b):: C = 1 +16096 ns P1(a&&b):: C = 0 +16096 ns P2(a&&b):: C = 1 +16098 ns P1(a||b):: C = 1 +16098 ns P2(a||b):: C = 0 +16102 ns P1(a&&b):: C = 0 +16102 ns P2(a&&b):: C = 1 +16104 ns P1(a||b):: C = 0 +16104 ns P2(a||b):: C = 1 +16108 ns P1(a&&b):: C = 0 +16108 ns P2(a&&b):: C = 1 +16110 ns P1(a||b):: C = 1 +16110 ns P2(a||b):: C = 0 +16114 ns P1(a&&b):: C = 0 +16114 ns P2(a&&b):: C = 1 +16116 ns P1(a||b):: C = 0 +16116 ns P2(a||b):: C = 1 +16120 ns P1(a&&b):: C = 0 +16120 ns P2(a&&b):: C = 1 +16122 ns P1(a||b):: C = 0 +16122 ns P2(a||b):: C = 1 +16126 ns P1(a&&b):: C = 0 +16126 ns P2(a&&b):: C = 1 +16128 ns P1(a||b):: C = 0 +16128 ns P2(a||b):: C = 1 +16132 ns P1(a&&b):: C = 0 +16132 ns P2(a&&b):: C = 1 +16134 ns P1(a||b):: C = 1 +16134 ns P2(a||b):: C = 0 +16138 ns P1(a&&b):: C = 0 +16138 ns P2(a&&b):: C = 1 +16140 ns P1(a||b):: C = 0 +16140 ns P2(a||b):: C = 1 +16144 ns P1(a&&b):: C = 0 +16144 ns P2(a&&b):: C = 1 +16146 ns P1(a||b):: C = 1 +16146 ns P2(a||b):: C = 0 +16150 ns P1(a&&b):: C = 0 +16150 ns P2(a&&b):: C = 1 +16152 ns P1(a||b):: C = 1 +16152 ns P2(a||b):: C = 0 +16156 ns P1(a&&b):: C = 0 +16156 ns P2(a&&b):: C = 1 +16158 ns P1(a||b):: C = 0 +16158 ns P2(a||b):: C = 1 +16162 ns P1(a&&b):: C = 0 +16162 ns P2(a&&b):: C = 1 +16164 ns P1(a||b):: C = 0 +16164 ns P2(a||b):: C = 1 +16168 ns P1(a&&b):: C = 0 +16168 ns P2(a&&b):: C = 1 +16170 ns P1(a||b):: C = 1 +16170 ns P2(a||b):: C = 0 +16174 ns P1(a&&b):: C = 0 +16174 ns P2(a&&b):: C = 1 +16176 ns P1(a||b):: C = 0 +16176 ns P2(a||b):: C = 1 +16180 ns P1(a&&b):: C = 1 +16180 ns P2(a&&b):: C = 0 +16182 ns P1(a||b):: C = 1 +16182 ns P2(a||b):: C = 0 +16186 ns P1(a&&b):: C = 0 +16186 ns P2(a&&b):: C = 1 +16188 ns P1(a||b):: C = 1 +16188 ns P2(a||b):: C = 0 +16192 ns P1(a&&b):: C = 0 +16192 ns P2(a&&b):: C = 1 +16194 ns P1(a||b):: C = 1 +16194 ns P2(a||b):: C = 0 +16198 ns P1(a&&b):: C = 0 +16198 ns P2(a&&b):: C = 1 +16200 ns P1(a||b):: C = 1 +16200 ns P2(a||b):: C = 0 +16204 ns P1(a&&b):: C = 0 +16204 ns P2(a&&b):: C = 1 +16206 ns P1(a||b):: C = 1 +16206 ns P2(a||b):: C = 0 +16210 ns P1(a&&b):: C = 0 +16210 ns P2(a&&b):: C = 1 +16212 ns P1(a||b):: C = 0 +16212 ns P2(a||b):: C = 1 +16216 ns P1(a&&b):: C = 1 +16216 ns P2(a&&b):: C = 0 +16218 ns P1(a||b):: C = 1 +16218 ns P2(a||b):: C = 0 +16222 ns P1(a&&b):: C = 1 +16222 ns P2(a&&b):: C = 0 +16224 ns P1(a||b):: C = 0 +16224 ns P2(a||b):: C = 1 +16228 ns P1(a&&b):: C = 0 +16228 ns P2(a&&b):: C = 1 +16230 ns P1(a||b):: C = 1 +16230 ns P2(a||b):: C = 0 +16234 ns P1(a&&b):: C = 0 +16234 ns P2(a&&b):: C = 1 +16236 ns P1(a||b):: C = 1 +16236 ns P2(a||b):: C = 0 +16240 ns P1(a&&b):: C = 1 +16240 ns P2(a&&b):: C = 0 +16242 ns P1(a||b):: C = 1 +16242 ns P2(a||b):: C = 0 +16246 ns P1(a&&b):: C = 0 +16246 ns P2(a&&b):: C = 1 +16248 ns P1(a||b):: C = 1 +16248 ns P2(a||b):: C = 0 +16252 ns P1(a&&b):: C = 0 +16252 ns P2(a&&b):: C = 1 +16254 ns P1(a||b):: C = 0 +16254 ns P2(a||b):: C = 1 +16258 ns P1(a&&b):: C = 0 +16258 ns P2(a&&b):: C = 1 +16260 ns P1(a||b):: C = 1 +16260 ns P2(a||b):: C = 0 +16264 ns P1(a&&b):: C = 0 +16264 ns P2(a&&b):: C = 1 +16266 ns P1(a||b):: C = 1 +16266 ns P2(a||b):: C = 0 +16270 ns P1(a&&b):: C = 1 +16270 ns P2(a&&b):: C = 0 +16272 ns P1(a||b):: C = 0 +16272 ns P2(a||b):: C = 1 +16276 ns P1(a&&b):: C = 1 +16276 ns P2(a&&b):: C = 0 +16278 ns P1(a||b):: C = 1 +16278 ns P2(a||b):: C = 0 +16282 ns P1(a&&b):: C = 0 +16282 ns P2(a&&b):: C = 1 +16284 ns P1(a||b):: C = 0 +16284 ns P2(a||b):: C = 1 +16288 ns P1(a&&b):: C = 0 +16288 ns P2(a&&b):: C = 1 +16290 ns P1(a||b):: C = 1 +16290 ns P2(a||b):: C = 0 +16294 ns P1(a&&b):: C = 1 +16294 ns P2(a&&b):: C = 0 +16296 ns P1(a||b):: C = 0 +16296 ns P2(a||b):: C = 1 +16300 ns P1(a&&b):: C = 0 +16300 ns P2(a&&b):: C = 1 +16302 ns P1(a||b):: C = 1 +16302 ns P2(a||b):: C = 0 +16306 ns P1(a&&b):: C = 0 +16306 ns P2(a&&b):: C = 1 +16308 ns P1(a||b):: C = 1 +16308 ns P2(a||b):: C = 0 +16312 ns P1(a&&b):: C = 0 +16312 ns P2(a&&b):: C = 1 +16314 ns P1(a||b):: C = 1 +16314 ns P2(a||b):: C = 0 +16318 ns P1(a&&b):: C = 0 +16318 ns P2(a&&b):: C = 1 +16320 ns P1(a||b):: C = 1 +16320 ns P2(a||b):: C = 0 +16324 ns P1(a&&b):: C = 0 +16324 ns P2(a&&b):: C = 1 +16326 ns P1(a||b):: C = 1 +16326 ns P2(a||b):: C = 0 +16330 ns P1(a&&b):: C = 1 +16330 ns P2(a&&b):: C = 0 +16332 ns P1(a||b):: C = 1 +16332 ns P2(a||b):: C = 0 +16336 ns P1(a&&b):: C = 1 +16336 ns P2(a&&b):: C = 0 +16338 ns P1(a||b):: C = 1 +16338 ns P2(a||b):: C = 0 +16342 ns P1(a&&b):: C = 1 +16342 ns P2(a&&b):: C = 0 +16344 ns P1(a||b):: C = 1 +16344 ns P2(a||b):: C = 0 +16348 ns P1(a&&b):: C = 0 +16348 ns P2(a&&b):: C = 1 +16350 ns P1(a||b):: C = 1 +16350 ns P2(a||b):: C = 0 +16354 ns P1(a&&b):: C = 0 +16354 ns P2(a&&b):: C = 1 +16356 ns P1(a||b):: C = 1 +16356 ns P2(a||b):: C = 0 +16360 ns P1(a&&b):: C = 0 +16360 ns P2(a&&b):: C = 1 +16362 ns P1(a||b):: C = 0 +16362 ns P2(a||b):: C = 1 +16366 ns P1(a&&b):: C = 1 +16366 ns P2(a&&b):: C = 0 +16368 ns P1(a||b):: C = 1 +16368 ns P2(a||b):: C = 0 +16372 ns P1(a&&b):: C = 0 +16372 ns P2(a&&b):: C = 1 +16374 ns P1(a||b):: C = 0 +16374 ns P2(a||b):: C = 1 +16378 ns P1(a&&b):: C = 0 +16378 ns P2(a&&b):: C = 1 +16380 ns P1(a||b):: C = 0 +16380 ns P2(a||b):: C = 1 +16384 ns P1(a&&b):: C = 1 +16384 ns P2(a&&b):: C = 0 +16386 ns P1(a||b):: C = 1 +16386 ns P2(a||b):: C = 0 +16390 ns P1(a&&b):: C = 1 +16390 ns P2(a&&b):: C = 0 +16392 ns P1(a||b):: C = 0 +16392 ns P2(a||b):: C = 1 +16396 ns P1(a&&b):: C = 0 +16396 ns P2(a&&b):: C = 1 +16398 ns P1(a||b):: C = 1 +16398 ns P2(a||b):: C = 0 +16402 ns P1(a&&b):: C = 0 +16402 ns P2(a&&b):: C = 1 +16404 ns P1(a||b):: C = 1 +16404 ns P2(a||b):: C = 0 +16408 ns P1(a&&b):: C = 0 +16408 ns P2(a&&b):: C = 1 +16410 ns P1(a||b):: C = 0 +16410 ns P2(a||b):: C = 1 +16414 ns P1(a&&b):: C = 1 +16414 ns P2(a&&b):: C = 0 +16416 ns P1(a||b):: C = 0 +16416 ns P2(a||b):: C = 1 +16420 ns P1(a&&b):: C = 1 +16420 ns P2(a&&b):: C = 0 +16422 ns P1(a||b):: C = 1 +16422 ns P2(a||b):: C = 0 +16426 ns P1(a&&b):: C = 0 +16426 ns P2(a&&b):: C = 1 +16428 ns P1(a||b):: C = 0 +16428 ns P2(a||b):: C = 1 +16432 ns P1(a&&b):: C = 1 +16432 ns P2(a&&b):: C = 0 +16434 ns P1(a||b):: C = 1 +16434 ns P2(a||b):: C = 0 +16438 ns P1(a&&b):: C = 0 +16438 ns P2(a&&b):: C = 1 +16440 ns P1(a||b):: C = 1 +16440 ns P2(a||b):: C = 0 +16444 ns P1(a&&b):: C = 0 +16444 ns P2(a&&b):: C = 1 +16446 ns P1(a||b):: C = 0 +16446 ns P2(a||b):: C = 1 +16450 ns P1(a&&b):: C = 0 +16450 ns P2(a&&b):: C = 1 +16452 ns P1(a||b):: C = 1 +16452 ns P2(a||b):: C = 0 +16456 ns P1(a&&b):: C = 0 +16456 ns P2(a&&b):: C = 1 +16458 ns P1(a||b):: C = 0 +16458 ns P2(a||b):: C = 1 +16462 ns P1(a&&b):: C = 1 +16462 ns P2(a&&b):: C = 0 +16464 ns P1(a||b):: C = 1 +16464 ns P2(a||b):: C = 0 +16468 ns P1(a&&b):: C = 0 +16468 ns P2(a&&b):: C = 1 +16470 ns P1(a||b):: C = 0 +16470 ns P2(a||b):: C = 1 +16474 ns P1(a&&b):: C = 0 +16474 ns P2(a&&b):: C = 1 +16476 ns P1(a||b):: C = 1 +16476 ns P2(a||b):: C = 0 +16480 ns P1(a&&b):: C = 0 +16480 ns P2(a&&b):: C = 1 +16482 ns P1(a||b):: C = 1 +16482 ns P2(a||b):: C = 0 +16486 ns P1(a&&b):: C = 0 +16486 ns P2(a&&b):: C = 1 +16488 ns P1(a||b):: C = 1 +16488 ns P2(a||b):: C = 0 +16492 ns P1(a&&b):: C = 0 +16492 ns P2(a&&b):: C = 1 +16494 ns P1(a||b):: C = 1 +16494 ns P2(a||b):: C = 0 +16498 ns P1(a&&b):: C = 1 +16498 ns P2(a&&b):: C = 0 +16500 ns P1(a||b):: C = 0 +16500 ns P2(a||b):: C = 1 +16504 ns P1(a&&b):: C = 1 +16504 ns P2(a&&b):: C = 0 +16506 ns P1(a||b):: C = 0 +16506 ns P2(a||b):: C = 1 +16510 ns P1(a&&b):: C = 0 +16510 ns P2(a&&b):: C = 1 +16512 ns P1(a||b):: C = 1 +16512 ns P2(a||b):: C = 0 +16516 ns P1(a&&b):: C = 0 +16516 ns P2(a&&b):: C = 1 +16518 ns P1(a||b):: C = 0 +16518 ns P2(a||b):: C = 1 +16522 ns P1(a&&b):: C = 0 +16522 ns P2(a&&b):: C = 1 +16524 ns P1(a||b):: C = 1 +16524 ns P2(a||b):: C = 0 +16528 ns P1(a&&b):: C = 1 +16528 ns P2(a&&b):: C = 0 +16530 ns P1(a||b):: C = 1 +16530 ns P2(a||b):: C = 0 +16534 ns P1(a&&b):: C = 0 +16534 ns P2(a&&b):: C = 1 +16536 ns P1(a||b):: C = 0 +16536 ns P2(a||b):: C = 1 +16540 ns P1(a&&b):: C = 1 +16540 ns P2(a&&b):: C = 0 +16542 ns P1(a||b):: C = 1 +16542 ns P2(a||b):: C = 0 +16546 ns P1(a&&b):: C = 1 +16546 ns P2(a&&b):: C = 0 +16548 ns P1(a||b):: C = 1 +16548 ns P2(a||b):: C = 0 +16552 ns P1(a&&b):: C = 0 +16552 ns P2(a&&b):: C = 1 +16554 ns P1(a||b):: C = 0 +16554 ns P2(a||b):: C = 1 +16558 ns P1(a&&b):: C = 1 +16558 ns P2(a&&b):: C = 0 +16560 ns P1(a||b):: C = 0 +16560 ns P2(a||b):: C = 1 +16564 ns P1(a&&b):: C = 1 +16564 ns P2(a&&b):: C = 0 +16566 ns P1(a||b):: C = 1 +16566 ns P2(a||b):: C = 0 +16570 ns P1(a&&b):: C = 0 +16570 ns P2(a&&b):: C = 1 +16572 ns P1(a||b):: C = 0 +16572 ns P2(a||b):: C = 1 +16576 ns P1(a&&b):: C = 1 +16576 ns P2(a&&b):: C = 0 +16578 ns P1(a||b):: C = 1 +16578 ns P2(a||b):: C = 0 +16582 ns P1(a&&b):: C = 0 +16582 ns P2(a&&b):: C = 1 +16584 ns P1(a||b):: C = 0 +16584 ns P2(a||b):: C = 1 +16588 ns P1(a&&b):: C = 1 +16588 ns P2(a&&b):: C = 0 +16590 ns P1(a||b):: C = 0 +16590 ns P2(a||b):: C = 1 +16594 ns P1(a&&b):: C = 0 +16594 ns P2(a&&b):: C = 1 +16596 ns P1(a||b):: C = 1 +16596 ns P2(a||b):: C = 0 +16600 ns P1(a&&b):: C = 0 +16600 ns P2(a&&b):: C = 1 +16602 ns P1(a||b):: C = 1 +16602 ns P2(a||b):: C = 0 +16606 ns P1(a&&b):: C = 0 +16606 ns P2(a&&b):: C = 1 +16608 ns P1(a||b):: C = 1 +16608 ns P2(a||b):: C = 0 +16612 ns P1(a&&b):: C = 0 +16612 ns P2(a&&b):: C = 1 +16614 ns P1(a||b):: C = 1 +16614 ns P2(a||b):: C = 0 +16618 ns P1(a&&b):: C = 1 +16618 ns P2(a&&b):: C = 0 +16620 ns P1(a||b):: C = 1 +16620 ns P2(a||b):: C = 0 +16624 ns P1(a&&b):: C = 0 +16624 ns P2(a&&b):: C = 1 +16626 ns P1(a||b):: C = 1 +16626 ns P2(a||b):: C = 0 +16630 ns P1(a&&b):: C = 1 +16630 ns P2(a&&b):: C = 0 +16632 ns P1(a||b):: C = 1 +16632 ns P2(a||b):: C = 0 +16636 ns P1(a&&b):: C = 0 +16636 ns P2(a&&b):: C = 1 +16638 ns P1(a||b):: C = 1 +16638 ns P2(a||b):: C = 0 +16642 ns P1(a&&b):: C = 0 +16642 ns P2(a&&b):: C = 1 +16644 ns P1(a||b):: C = 0 +16644 ns P2(a||b):: C = 1 +16648 ns P1(a&&b):: C = 0 +16648 ns P2(a&&b):: C = 1 +16650 ns P1(a||b):: C = 1 +16650 ns P2(a||b):: C = 0 +16654 ns P1(a&&b):: C = 0 +16654 ns P2(a&&b):: C = 1 +16656 ns P1(a||b):: C = 1 +16656 ns P2(a||b):: C = 0 +16660 ns P1(a&&b):: C = 0 +16660 ns P2(a&&b):: C = 1 +16662 ns P1(a||b):: C = 0 +16662 ns P2(a||b):: C = 1 +16666 ns P1(a&&b):: C = 0 +16666 ns P2(a&&b):: C = 1 +16668 ns P1(a||b):: C = 1 +16668 ns P2(a||b):: C = 0 +16672 ns P1(a&&b):: C = 0 +16672 ns P2(a&&b):: C = 1 +16674 ns P1(a||b):: C = 1 +16674 ns P2(a||b):: C = 0 +16678 ns P1(a&&b):: C = 0 +16678 ns P2(a&&b):: C = 1 +16680 ns P1(a||b):: C = 0 +16680 ns P2(a||b):: C = 1 +16684 ns P1(a&&b):: C = 0 +16684 ns P2(a&&b):: C = 1 +16686 ns P1(a||b):: C = 1 +16686 ns P2(a||b):: C = 0 +16690 ns P1(a&&b):: C = 1 +16690 ns P2(a&&b):: C = 0 +16692 ns P1(a||b):: C = 1 +16692 ns P2(a||b):: C = 0 +16696 ns P1(a&&b):: C = 0 +16696 ns P2(a&&b):: C = 1 +16698 ns P1(a||b):: C = 1 +16698 ns P2(a||b):: C = 0 +16702 ns P1(a&&b):: C = 0 +16702 ns P2(a&&b):: C = 1 +16704 ns P1(a||b):: C = 0 +16704 ns P2(a||b):: C = 1 +16708 ns P1(a&&b):: C = 1 +16708 ns P2(a&&b):: C = 0 +16710 ns P1(a||b):: C = 1 +16710 ns P2(a||b):: C = 0 +16714 ns P1(a&&b):: C = 0 +16714 ns P2(a&&b):: C = 1 +16716 ns P1(a||b):: C = 0 +16716 ns P2(a||b):: C = 1 +16720 ns P1(a&&b):: C = 0 +16720 ns P2(a&&b):: C = 1 +16722 ns P1(a||b):: C = 0 +16722 ns P2(a||b):: C = 1 +16726 ns P1(a&&b):: C = 0 +16726 ns P2(a&&b):: C = 1 +16728 ns P1(a||b):: C = 0 +16728 ns P2(a||b):: C = 1 +16732 ns P1(a&&b):: C = 0 +16732 ns P2(a&&b):: C = 1 +16734 ns P1(a||b):: C = 1 +16734 ns P2(a||b):: C = 0 +16738 ns P1(a&&b):: C = 0 +16738 ns P2(a&&b):: C = 1 +16740 ns P1(a||b):: C = 1 +16740 ns P2(a||b):: C = 0 +16744 ns P1(a&&b):: C = 0 +16744 ns P2(a&&b):: C = 1 +16746 ns P1(a||b):: C = 1 +16746 ns P2(a||b):: C = 0 +16750 ns P1(a&&b):: C = 0 +16750 ns P2(a&&b):: C = 1 +16752 ns P1(a||b):: C = 1 +16752 ns P2(a||b):: C = 0 +16756 ns P1(a&&b):: C = 0 +16756 ns P2(a&&b):: C = 1 +16758 ns P1(a||b):: C = 0 +16758 ns P2(a||b):: C = 1 +16762 ns P1(a&&b):: C = 0 +16762 ns P2(a&&b):: C = 1 +16764 ns P1(a||b):: C = 1 +16764 ns P2(a||b):: C = 0 +16768 ns P1(a&&b):: C = 0 +16768 ns P2(a&&b):: C = 1 +16770 ns P1(a||b):: C = 1 +16770 ns P2(a||b):: C = 0 +16774 ns P1(a&&b):: C = 1 +16774 ns P2(a&&b):: C = 0 +16776 ns P1(a||b):: C = 1 +16776 ns P2(a||b):: C = 0 +16780 ns P1(a&&b):: C = 0 +16780 ns P2(a&&b):: C = 1 +16782 ns P1(a||b):: C = 1 +16782 ns P2(a||b):: C = 0 +16786 ns P1(a&&b):: C = 1 +16786 ns P2(a&&b):: C = 0 +16788 ns P1(a||b):: C = 1 +16788 ns P2(a||b):: C = 0 +16792 ns P1(a&&b):: C = 0 +16792 ns P2(a&&b):: C = 1 +16794 ns P1(a||b):: C = 1 +16794 ns P2(a||b):: C = 0 +16798 ns P1(a&&b):: C = 0 +16798 ns P2(a&&b):: C = 1 +16800 ns P1(a||b):: C = 0 +16800 ns P2(a||b):: C = 1 +16804 ns P1(a&&b):: C = 0 +16804 ns P2(a&&b):: C = 1 +16806 ns P1(a||b):: C = 1 +16806 ns P2(a||b):: C = 0 +16810 ns P1(a&&b):: C = 0 +16810 ns P2(a&&b):: C = 1 +16812 ns P1(a||b):: C = 1 +16812 ns P2(a||b):: C = 0 +16816 ns P1(a&&b):: C = 0 +16816 ns P2(a&&b):: C = 1 +16818 ns P1(a||b):: C = 0 +16818 ns P2(a||b):: C = 1 +16822 ns P1(a&&b):: C = 0 +16822 ns P2(a&&b):: C = 1 +16824 ns P1(a||b):: C = 0 +16824 ns P2(a||b):: C = 1 +16828 ns P1(a&&b):: C = 0 +16828 ns P2(a&&b):: C = 1 +16830 ns P1(a||b):: C = 1 +16830 ns P2(a||b):: C = 0 +16834 ns P1(a&&b):: C = 1 +16834 ns P2(a&&b):: C = 0 +16836 ns P1(a||b):: C = 1 +16836 ns P2(a||b):: C = 0 +16840 ns P1(a&&b):: C = 0 +16840 ns P2(a&&b):: C = 1 +16842 ns P1(a||b):: C = 0 +16842 ns P2(a||b):: C = 1 +16846 ns P1(a&&b):: C = 0 +16846 ns P2(a&&b):: C = 1 +16848 ns P1(a||b):: C = 1 +16848 ns P2(a||b):: C = 0 +16852 ns P1(a&&b):: C = 0 +16852 ns P2(a&&b):: C = 1 +16854 ns P1(a||b):: C = 1 +16854 ns P2(a||b):: C = 0 +16858 ns P1(a&&b):: C = 0 +16858 ns P2(a&&b):: C = 1 +16860 ns P1(a||b):: C = 1 +16860 ns P2(a||b):: C = 0 +16864 ns P1(a&&b):: C = 0 +16864 ns P2(a&&b):: C = 1 +16866 ns P1(a||b):: C = 1 +16866 ns P2(a||b):: C = 0 +16870 ns P1(a&&b):: C = 1 +16870 ns P2(a&&b):: C = 0 +16872 ns P1(a||b):: C = 0 +16872 ns P2(a||b):: C = 1 +16876 ns P1(a&&b):: C = 0 +16876 ns P2(a&&b):: C = 1 +16878 ns P1(a||b):: C = 1 +16878 ns P2(a||b):: C = 0 +16882 ns P1(a&&b):: C = 0 +16882 ns P2(a&&b):: C = 1 +16884 ns P1(a||b):: C = 1 +16884 ns P2(a||b):: C = 0 +16888 ns P1(a&&b):: C = 0 +16888 ns P2(a&&b):: C = 1 +16890 ns P1(a||b):: C = 0 +16890 ns P2(a||b):: C = 1 +16894 ns P1(a&&b):: C = 0 +16894 ns P2(a&&b):: C = 1 +16896 ns P1(a||b):: C = 1 +16896 ns P2(a||b):: C = 0 +16900 ns P1(a&&b):: C = 0 +16900 ns P2(a&&b):: C = 1 +16902 ns P1(a||b):: C = 1 +16902 ns P2(a||b):: C = 0 +16906 ns P1(a&&b):: C = 1 +16906 ns P2(a&&b):: C = 0 +16908 ns P1(a||b):: C = 0 +16908 ns P2(a||b):: C = 1 +16912 ns P1(a&&b):: C = 0 +16912 ns P2(a&&b):: C = 1 +16914 ns P1(a||b):: C = 1 +16914 ns P2(a||b):: C = 0 +16918 ns P1(a&&b):: C = 0 +16918 ns P2(a&&b):: C = 1 +16920 ns P1(a||b):: C = 1 +16920 ns P2(a||b):: C = 0 +16924 ns P1(a&&b):: C = 0 +16924 ns P2(a&&b):: C = 1 +16926 ns P1(a||b):: C = 1 +16926 ns P2(a||b):: C = 0 +16930 ns P1(a&&b):: C = 1 +16930 ns P2(a&&b):: C = 0 +16932 ns P1(a||b):: C = 1 +16932 ns P2(a||b):: C = 0 +16936 ns P1(a&&b):: C = 0 +16936 ns P2(a&&b):: C = 1 +16938 ns P1(a||b):: C = 0 +16938 ns P2(a||b):: C = 1 +16942 ns P1(a&&b):: C = 0 +16942 ns P2(a&&b):: C = 1 +16944 ns P1(a||b):: C = 0 +16944 ns P2(a||b):: C = 1 +16948 ns P1(a&&b):: C = 0 +16948 ns P2(a&&b):: C = 1 +16950 ns P1(a||b):: C = 1 +16950 ns P2(a||b):: C = 0 +16954 ns P1(a&&b):: C = 0 +16954 ns P2(a&&b):: C = 1 +16956 ns P1(a||b):: C = 0 +16956 ns P2(a||b):: C = 1 +16960 ns P1(a&&b):: C = 0 +16960 ns P2(a&&b):: C = 1 +16962 ns P1(a||b):: C = 1 +16962 ns P2(a||b):: C = 0 +16966 ns P1(a&&b):: C = 0 +16966 ns P2(a&&b):: C = 1 +16968 ns P1(a||b):: C = 1 +16968 ns P2(a||b):: C = 0 +16972 ns P1(a&&b):: C = 0 +16972 ns P2(a&&b):: C = 1 +16974 ns P1(a||b):: C = 0 +16974 ns P2(a||b):: C = 1 +16978 ns P1(a&&b):: C = 0 +16978 ns P2(a&&b):: C = 1 +16980 ns P1(a||b):: C = 1 +16980 ns P2(a||b):: C = 0 +16984 ns P1(a&&b):: C = 1 +16984 ns P2(a&&b):: C = 0 +16986 ns P1(a||b):: C = 0 +16986 ns P2(a||b):: C = 1 +16990 ns P1(a&&b):: C = 0 +16990 ns P2(a&&b):: C = 1 +16992 ns P1(a||b):: C = 1 +16992 ns P2(a||b):: C = 0 +16996 ns P1(a&&b):: C = 1 +16996 ns P2(a&&b):: C = 0 +16998 ns P1(a||b):: C = 1 +16998 ns P2(a||b):: C = 0 +17002 ns P1(a&&b):: C = 0 +17002 ns P2(a&&b):: C = 1 +17004 ns P1(a||b):: C = 0 +17004 ns P2(a||b):: C = 1 +17008 ns P1(a&&b):: C = 1 +17008 ns P2(a&&b):: C = 0 +17010 ns P1(a||b):: C = 0 +17010 ns P2(a||b):: C = 1 +17014 ns P1(a&&b):: C = 1 +17014 ns P2(a&&b):: C = 0 +17016 ns P1(a||b):: C = 0 +17016 ns P2(a||b):: C = 1 +17020 ns P1(a&&b):: C = 0 +17020 ns P2(a&&b):: C = 1 +17022 ns P1(a||b):: C = 1 +17022 ns P2(a||b):: C = 0 +17026 ns P1(a&&b):: C = 0 +17026 ns P2(a&&b):: C = 1 +17028 ns P1(a||b):: C = 1 +17028 ns P2(a||b):: C = 0 +17032 ns P1(a&&b):: C = 1 +17032 ns P2(a&&b):: C = 0 +17034 ns P1(a||b):: C = 1 +17034 ns P2(a||b):: C = 0 +17038 ns P1(a&&b):: C = 1 +17038 ns P2(a&&b):: C = 0 +17040 ns P1(a||b):: C = 1 +17040 ns P2(a||b):: C = 0 +17044 ns P1(a&&b):: C = 0 +17044 ns P2(a&&b):: C = 1 +17046 ns P1(a||b):: C = 0 +17046 ns P2(a||b):: C = 1 +17050 ns P1(a&&b):: C = 0 +17050 ns P2(a&&b):: C = 1 +17052 ns P1(a||b):: C = 1 +17052 ns P2(a||b):: C = 0 +17056 ns P1(a&&b):: C = 0 +17056 ns P2(a&&b):: C = 1 +17058 ns P1(a||b):: C = 0 +17058 ns P2(a||b):: C = 1 +17062 ns P1(a&&b):: C = 0 +17062 ns P2(a&&b):: C = 1 +17064 ns P1(a||b):: C = 1 +17064 ns P2(a||b):: C = 0 +17068 ns P1(a&&b):: C = 0 +17068 ns P2(a&&b):: C = 1 +17070 ns P1(a||b):: C = 1 +17070 ns P2(a||b):: C = 0 +17074 ns P1(a&&b):: C = 1 +17074 ns P2(a&&b):: C = 0 +17076 ns P1(a||b):: C = 1 +17076 ns P2(a||b):: C = 0 +17080 ns P1(a&&b):: C = 0 +17080 ns P2(a&&b):: C = 1 +17082 ns P1(a||b):: C = 1 +17082 ns P2(a||b):: C = 0 +17086 ns P1(a&&b):: C = 0 +17086 ns P2(a&&b):: C = 1 +17088 ns P1(a||b):: C = 0 +17088 ns P2(a||b):: C = 1 +17092 ns P1(a&&b):: C = 1 +17092 ns P2(a&&b):: C = 0 +17094 ns P1(a||b):: C = 1 +17094 ns P2(a||b):: C = 0 +17098 ns P1(a&&b):: C = 0 +17098 ns P2(a&&b):: C = 1 +17100 ns P1(a||b):: C = 1 +17100 ns P2(a||b):: C = 0 +17104 ns P1(a&&b):: C = 0 +17104 ns P2(a&&b):: C = 1 +17106 ns P1(a||b):: C = 1 +17106 ns P2(a||b):: C = 0 +17110 ns P1(a&&b):: C = 0 +17110 ns P2(a&&b):: C = 1 +17112 ns P1(a||b):: C = 1 +17112 ns P2(a||b):: C = 0 +17116 ns P1(a&&b):: C = 0 +17116 ns P2(a&&b):: C = 1 +17118 ns P1(a||b):: C = 1 +17118 ns P2(a||b):: C = 0 +17122 ns P1(a&&b):: C = 0 +17122 ns P2(a&&b):: C = 1 +17124 ns P1(a||b):: C = 1 +17124 ns P2(a||b):: C = 0 +17128 ns P1(a&&b):: C = 0 +17128 ns P2(a&&b):: C = 1 +17130 ns P1(a||b):: C = 0 +17130 ns P2(a||b):: C = 1 +17134 ns P1(a&&b):: C = 1 +17134 ns P2(a&&b):: C = 0 +17136 ns P1(a||b):: C = 1 +17136 ns P2(a||b):: C = 0 +17140 ns P1(a&&b):: C = 0 +17140 ns P2(a&&b):: C = 1 +17142 ns P1(a||b):: C = 1 +17142 ns P2(a||b):: C = 0 +17146 ns P1(a&&b):: C = 0 +17146 ns P2(a&&b):: C = 1 +17148 ns P1(a||b):: C = 1 +17148 ns P2(a||b):: C = 0 +17152 ns P1(a&&b):: C = 0 +17152 ns P2(a&&b):: C = 1 +17154 ns P1(a||b):: C = 1 +17154 ns P2(a||b):: C = 0 +17158 ns P1(a&&b):: C = 0 +17158 ns P2(a&&b):: C = 1 +17160 ns P1(a||b):: C = 0 +17160 ns P2(a||b):: C = 1 +17164 ns P1(a&&b):: C = 0 +17164 ns P2(a&&b):: C = 1 +17166 ns P1(a||b):: C = 0 +17166 ns P2(a||b):: C = 1 +17170 ns P1(a&&b):: C = 1 +17170 ns P2(a&&b):: C = 0 +17172 ns P1(a||b):: C = 1 +17172 ns P2(a||b):: C = 0 +17176 ns P1(a&&b):: C = 0 +17176 ns P2(a&&b):: C = 1 +17178 ns P1(a||b):: C = 1 +17178 ns P2(a||b):: C = 0 +17182 ns P1(a&&b):: C = 1 +17182 ns P2(a&&b):: C = 0 +17184 ns P1(a||b):: C = 0 +17184 ns P2(a||b):: C = 1 +17188 ns P1(a&&b):: C = 0 +17188 ns P2(a&&b):: C = 1 +17190 ns P1(a||b):: C = 0 +17190 ns P2(a||b):: C = 1 +17194 ns P1(a&&b):: C = 0 +17194 ns P2(a&&b):: C = 1 +17196 ns P1(a||b):: C = 1 +17196 ns P2(a||b):: C = 0 +17200 ns P1(a&&b):: C = 1 +17200 ns P2(a&&b):: C = 0 +17202 ns P1(a||b):: C = 1 +17202 ns P2(a||b):: C = 0 +17206 ns P1(a&&b):: C = 0 +17206 ns P2(a&&b):: C = 1 +17208 ns P1(a||b):: C = 0 +17208 ns P2(a||b):: C = 1 +17212 ns P1(a&&b):: C = 0 +17212 ns P2(a&&b):: C = 1 +17214 ns P1(a||b):: C = 1 +17214 ns P2(a||b):: C = 0 +17218 ns P1(a&&b):: C = 1 +17218 ns P2(a&&b):: C = 0 +17220 ns P1(a||b):: C = 1 +17220 ns P2(a||b):: C = 0 +17224 ns P1(a&&b):: C = 0 +17224 ns P2(a&&b):: C = 1 +17226 ns P1(a||b):: C = 1 +17226 ns P2(a||b):: C = 0 +17230 ns P1(a&&b):: C = 0 +17230 ns P2(a&&b):: C = 1 +17232 ns P1(a||b):: C = 1 +17232 ns P2(a||b):: C = 0 +17236 ns P1(a&&b):: C = 0 +17236 ns P2(a&&b):: C = 1 +17238 ns P1(a||b):: C = 1 +17238 ns P2(a||b):: C = 0 +17242 ns P1(a&&b):: C = 0 +17242 ns P2(a&&b):: C = 1 +17244 ns P1(a||b):: C = 1 +17244 ns P2(a||b):: C = 0 +17248 ns P1(a&&b):: C = 0 +17248 ns P2(a&&b):: C = 1 +17250 ns P1(a||b):: C = 1 +17250 ns P2(a||b):: C = 0 +17254 ns P1(a&&b):: C = 0 +17254 ns P2(a&&b):: C = 1 +17256 ns P1(a||b):: C = 0 +17256 ns P2(a||b):: C = 1 +17260 ns P1(a&&b):: C = 0 +17260 ns P2(a&&b):: C = 1 +17262 ns P1(a||b):: C = 1 +17262 ns P2(a||b):: C = 0 +17266 ns P1(a&&b):: C = 0 +17266 ns P2(a&&b):: C = 1 +17268 ns P1(a||b):: C = 1 +17268 ns P2(a||b):: C = 0 +17272 ns P1(a&&b):: C = 0 +17272 ns P2(a&&b):: C = 1 +17274 ns P1(a||b):: C = 1 +17274 ns P2(a||b):: C = 0 +17278 ns P1(a&&b):: C = 0 +17278 ns P2(a&&b):: C = 1 +17280 ns P1(a||b):: C = 1 +17280 ns P2(a||b):: C = 0 +17284 ns P1(a&&b):: C = 0 +17284 ns P2(a&&b):: C = 1 +17286 ns P1(a||b):: C = 1 +17286 ns P2(a||b):: C = 0 +17290 ns P1(a&&b):: C = 1 +17290 ns P2(a&&b):: C = 0 +17292 ns P1(a||b):: C = 0 +17292 ns P2(a||b):: C = 1 +17296 ns P1(a&&b):: C = 0 +17296 ns P2(a&&b):: C = 1 +17298 ns P1(a||b):: C = 1 +17298 ns P2(a||b):: C = 0 +17302 ns P1(a&&b):: C = 0 +17302 ns P2(a&&b):: C = 1 +17304 ns P1(a||b):: C = 1 +17304 ns P2(a||b):: C = 0 +17308 ns P1(a&&b):: C = 1 +17308 ns P2(a&&b):: C = 0 +17310 ns P1(a||b):: C = 1 +17310 ns P2(a||b):: C = 0 +17314 ns P1(a&&b):: C = 0 +17314 ns P2(a&&b):: C = 1 +17316 ns P1(a||b):: C = 1 +17316 ns P2(a||b):: C = 0 +17320 ns P1(a&&b):: C = 0 +17320 ns P2(a&&b):: C = 1 +17322 ns P1(a||b):: C = 0 +17322 ns P2(a||b):: C = 1 +17326 ns P1(a&&b):: C = 0 +17326 ns P2(a&&b):: C = 1 +17328 ns P1(a||b):: C = 1 +17328 ns P2(a||b):: C = 0 +17332 ns P1(a&&b):: C = 0 +17332 ns P2(a&&b):: C = 1 +17334 ns P1(a||b):: C = 1 +17334 ns P2(a||b):: C = 0 +17338 ns P1(a&&b):: C = 0 +17338 ns P2(a&&b):: C = 1 +17340 ns P1(a||b):: C = 1 +17340 ns P2(a||b):: C = 0 +17344 ns P1(a&&b):: C = 0 +17344 ns P2(a&&b):: C = 1 +17346 ns P1(a||b):: C = 0 +17346 ns P2(a||b):: C = 1 +17350 ns P1(a&&b):: C = 0 +17350 ns P2(a&&b):: C = 1 +17352 ns P1(a||b):: C = 1 +17352 ns P2(a||b):: C = 0 +17356 ns P1(a&&b):: C = 0 +17356 ns P2(a&&b):: C = 1 +17358 ns P1(a||b):: C = 1 +17358 ns P2(a||b):: C = 0 +17362 ns P1(a&&b):: C = 0 +17362 ns P2(a&&b):: C = 1 +17364 ns P1(a||b):: C = 1 +17364 ns P2(a||b):: C = 0 +17368 ns P1(a&&b):: C = 0 +17368 ns P2(a&&b):: C = 1 +17370 ns P1(a||b):: C = 1 +17370 ns P2(a||b):: C = 0 +17374 ns P1(a&&b):: C = 0 +17374 ns P2(a&&b):: C = 1 +17376 ns P1(a||b):: C = 0 +17376 ns P2(a||b):: C = 1 +17380 ns P1(a&&b):: C = 1 +17380 ns P2(a&&b):: C = 0 +17382 ns P1(a||b):: C = 1 +17382 ns P2(a||b):: C = 0 +17386 ns P1(a&&b):: C = 0 +17386 ns P2(a&&b):: C = 1 +17388 ns P1(a||b):: C = 0 +17388 ns P2(a||b):: C = 1 +17392 ns P1(a&&b):: C = 1 +17392 ns P2(a&&b):: C = 0 +17394 ns P1(a||b):: C = 0 +17394 ns P2(a||b):: C = 1 +17398 ns P1(a&&b):: C = 0 +17398 ns P2(a&&b):: C = 1 +17400 ns P1(a||b):: C = 0 +17400 ns P2(a||b):: C = 1 +17404 ns P1(a&&b):: C = 0 +17404 ns P2(a&&b):: C = 1 +17406 ns P1(a||b):: C = 1 +17406 ns P2(a||b):: C = 0 +17410 ns P1(a&&b):: C = 0 +17410 ns P2(a&&b):: C = 1 +17412 ns P1(a||b):: C = 1 +17412 ns P2(a||b):: C = 0 +17416 ns P1(a&&b):: C = 0 +17416 ns P2(a&&b):: C = 1 +17418 ns P1(a||b):: C = 0 +17418 ns P2(a||b):: C = 1 +17422 ns P1(a&&b):: C = 0 +17422 ns P2(a&&b):: C = 1 +17424 ns P1(a||b):: C = 1 +17424 ns P2(a||b):: C = 0 +17428 ns P1(a&&b):: C = 1 +17428 ns P2(a&&b):: C = 0 +17430 ns P1(a||b):: C = 1 +17430 ns P2(a||b):: C = 0 +17434 ns P1(a&&b):: C = 0 +17434 ns P2(a&&b):: C = 1 +17436 ns P1(a||b):: C = 0 +17436 ns P2(a||b):: C = 1 +17440 ns P1(a&&b):: C = 0 +17440 ns P2(a&&b):: C = 1 +17442 ns P1(a||b):: C = 0 +17442 ns P2(a||b):: C = 1 +17446 ns P1(a&&b):: C = 0 +17446 ns P2(a&&b):: C = 1 +17448 ns P1(a||b):: C = 1 +17448 ns P2(a||b):: C = 0 +17452 ns P1(a&&b):: C = 1 +17452 ns P2(a&&b):: C = 0 +17454 ns P1(a||b):: C = 1 +17454 ns P2(a||b):: C = 0 +17458 ns P1(a&&b):: C = 0 +17458 ns P2(a&&b):: C = 1 +17460 ns P1(a||b):: C = 1 +17460 ns P2(a||b):: C = 0 +17464 ns P1(a&&b):: C = 0 +17464 ns P2(a&&b):: C = 1 +17466 ns P1(a||b):: C = 0 +17466 ns P2(a||b):: C = 1 +17470 ns P1(a&&b):: C = 0 +17470 ns P2(a&&b):: C = 1 +17472 ns P1(a||b):: C = 0 +17472 ns P2(a||b):: C = 1 +17476 ns P1(a&&b):: C = 0 +17476 ns P2(a&&b):: C = 1 +17478 ns P1(a||b):: C = 1 +17478 ns P2(a||b):: C = 0 +17482 ns P1(a&&b):: C = 0 +17482 ns P2(a&&b):: C = 1 +17484 ns P1(a||b):: C = 0 +17484 ns P2(a||b):: C = 1 +17488 ns P1(a&&b):: C = 0 +17488 ns P2(a&&b):: C = 1 +17490 ns P1(a||b):: C = 1 +17490 ns P2(a||b):: C = 0 +17494 ns P1(a&&b):: C = 1 +17494 ns P2(a&&b):: C = 0 +17496 ns P1(a||b):: C = 1 +17496 ns P2(a||b):: C = 0 +17500 ns P1(a&&b):: C = 1 +17500 ns P2(a&&b):: C = 0 +17502 ns P1(a||b):: C = 1 +17502 ns P2(a||b):: C = 0 +17506 ns P1(a&&b):: C = 1 +17506 ns P2(a&&b):: C = 0 +17508 ns P1(a||b):: C = 0 +17508 ns P2(a||b):: C = 1 +17512 ns P1(a&&b):: C = 0 +17512 ns P2(a&&b):: C = 1 +17514 ns P1(a||b):: C = 1 +17514 ns P2(a||b):: C = 0 +17518 ns P1(a&&b):: C = 0 +17518 ns P2(a&&b):: C = 1 +17520 ns P1(a||b):: C = 1 +17520 ns P2(a||b):: C = 0 +17524 ns P1(a&&b):: C = 1 +17524 ns P2(a&&b):: C = 0 +17526 ns P1(a||b):: C = 1 +17526 ns P2(a||b):: C = 0 +17530 ns P1(a&&b):: C = 0 +17530 ns P2(a&&b):: C = 1 +17532 ns P1(a||b):: C = 1 +17532 ns P2(a||b):: C = 0 +17536 ns P1(a&&b):: C = 1 +17536 ns P2(a&&b):: C = 0 +17538 ns P1(a||b):: C = 1 +17538 ns P2(a||b):: C = 0 +17542 ns P1(a&&b):: C = 0 +17542 ns P2(a&&b):: C = 1 +17544 ns P1(a||b):: C = 0 +17544 ns P2(a||b):: C = 1 +17548 ns P1(a&&b):: C = 0 +17548 ns P2(a&&b):: C = 1 +17550 ns P1(a||b):: C = 1 +17550 ns P2(a||b):: C = 0 +17554 ns P1(a&&b):: C = 0 +17554 ns P2(a&&b):: C = 1 +17556 ns P1(a||b):: C = 0 +17556 ns P2(a||b):: C = 1 +17560 ns P1(a&&b):: C = 0 +17560 ns P2(a&&b):: C = 1 +17562 ns P1(a||b):: C = 1 +17562 ns P2(a||b):: C = 0 +17566 ns P1(a&&b):: C = 0 +17566 ns P2(a&&b):: C = 1 +17568 ns P1(a||b):: C = 1 +17568 ns P2(a||b):: C = 0 +17572 ns P1(a&&b):: C = 0 +17572 ns P2(a&&b):: C = 1 +17574 ns P1(a||b):: C = 1 +17574 ns P2(a||b):: C = 0 +17578 ns P1(a&&b):: C = 0 +17578 ns P2(a&&b):: C = 1 +17580 ns P1(a||b):: C = 1 +17580 ns P2(a||b):: C = 0 +17584 ns P1(a&&b):: C = 0 +17584 ns P2(a&&b):: C = 1 +17586 ns P1(a||b):: C = 1 +17586 ns P2(a||b):: C = 0 +17590 ns P1(a&&b):: C = 0 +17590 ns P2(a&&b):: C = 1 +17592 ns P1(a||b):: C = 0 +17592 ns P2(a||b):: C = 1 +17596 ns P1(a&&b):: C = 0 +17596 ns P2(a&&b):: C = 1 +17598 ns P1(a||b):: C = 1 +17598 ns P2(a||b):: C = 0 +17602 ns P1(a&&b):: C = 0 +17602 ns P2(a&&b):: C = 1 +17604 ns P1(a||b):: C = 1 +17604 ns P2(a||b):: C = 0 +17608 ns P1(a&&b):: C = 0 +17608 ns P2(a&&b):: C = 1 +17610 ns P1(a||b):: C = 0 +17610 ns P2(a||b):: C = 1 +17614 ns P1(a&&b):: C = 0 +17614 ns P2(a&&b):: C = 1 +17616 ns P1(a||b):: C = 1 +17616 ns P2(a||b):: C = 0 +17620 ns P1(a&&b):: C = 1 +17620 ns P2(a&&b):: C = 0 +17622 ns P1(a||b):: C = 1 +17622 ns P2(a||b):: C = 0 +17626 ns P1(a&&b):: C = 0 +17626 ns P2(a&&b):: C = 1 +17628 ns P1(a||b):: C = 1 +17628 ns P2(a||b):: C = 0 +17632 ns P1(a&&b):: C = 0 +17632 ns P2(a&&b):: C = 1 +17634 ns P1(a||b):: C = 1 +17634 ns P2(a||b):: C = 0 +17638 ns P1(a&&b):: C = 0 +17638 ns P2(a&&b):: C = 1 +17640 ns P1(a||b):: C = 1 +17640 ns P2(a||b):: C = 0 +17644 ns P1(a&&b):: C = 0 +17644 ns P2(a&&b):: C = 1 +17646 ns P1(a||b):: C = 1 +17646 ns P2(a||b):: C = 0 +17650 ns P1(a&&b):: C = 0 +17650 ns P2(a&&b):: C = 1 +17652 ns P1(a||b):: C = 1 +17652 ns P2(a||b):: C = 0 +17656 ns P1(a&&b):: C = 0 +17656 ns P2(a&&b):: C = 1 +17658 ns P1(a||b):: C = 1 +17658 ns P2(a||b):: C = 0 +17662 ns P1(a&&b):: C = 0 +17662 ns P2(a&&b):: C = 1 +17664 ns P1(a||b):: C = 1 +17664 ns P2(a||b):: C = 0 +17668 ns P1(a&&b):: C = 1 +17668 ns P2(a&&b):: C = 0 +17670 ns P1(a||b):: C = 0 +17670 ns P2(a||b):: C = 1 +17674 ns P1(a&&b):: C = 0 +17674 ns P2(a&&b):: C = 1 +17676 ns P1(a||b):: C = 0 +17676 ns P2(a||b):: C = 1 +17680 ns P1(a&&b):: C = 0 +17680 ns P2(a&&b):: C = 1 +17682 ns P1(a||b):: C = 1 +17682 ns P2(a||b):: C = 0 +17686 ns P1(a&&b):: C = 0 +17686 ns P2(a&&b):: C = 1 +17688 ns P1(a||b):: C = 1 +17688 ns P2(a||b):: C = 0 +17692 ns P1(a&&b):: C = 1 +17692 ns P2(a&&b):: C = 0 +17694 ns P1(a||b):: C = 1 +17694 ns P2(a||b):: C = 0 +17698 ns P1(a&&b):: C = 0 +17698 ns P2(a&&b):: C = 1 +17700 ns P1(a||b):: C = 1 +17700 ns P2(a||b):: C = 0 +17704 ns P1(a&&b):: C = 1 +17704 ns P2(a&&b):: C = 0 +17706 ns P1(a||b):: C = 1 +17706 ns P2(a||b):: C = 0 +17710 ns P1(a&&b):: C = 0 +17710 ns P2(a&&b):: C = 1 +17712 ns P1(a||b):: C = 0 +17712 ns P2(a||b):: C = 1 +17716 ns P1(a&&b):: C = 1 +17716 ns P2(a&&b):: C = 0 +17718 ns P1(a||b):: C = 0 +17718 ns P2(a||b):: C = 1 +17722 ns P1(a&&b):: C = 0 +17722 ns P2(a&&b):: C = 1 +17724 ns P1(a||b):: C = 1 +17724 ns P2(a||b):: C = 0 +17728 ns P1(a&&b):: C = 0 +17728 ns P2(a&&b):: C = 1 +17730 ns P1(a||b):: C = 0 +17730 ns P2(a||b):: C = 1 +17734 ns P1(a&&b):: C = 0 +17734 ns P2(a&&b):: C = 1 +17736 ns P1(a||b):: C = 1 +17736 ns P2(a||b):: C = 0 +17740 ns P1(a&&b):: C = 0 +17740 ns P2(a&&b):: C = 1 +17742 ns P1(a||b):: C = 1 +17742 ns P2(a||b):: C = 0 +17746 ns P1(a&&b):: C = 1 +17746 ns P2(a&&b):: C = 0 +17748 ns P1(a||b):: C = 1 +17748 ns P2(a||b):: C = 0 +17752 ns P1(a&&b):: C = 0 +17752 ns P2(a&&b):: C = 1 +17754 ns P1(a||b):: C = 1 +17754 ns P2(a||b):: C = 0 +17758 ns P1(a&&b):: C = 0 +17758 ns P2(a&&b):: C = 1 +17760 ns P1(a||b):: C = 0 +17760 ns P2(a||b):: C = 1 +17764 ns P1(a&&b):: C = 1 +17764 ns P2(a&&b):: C = 0 +17766 ns P1(a||b):: C = 1 +17766 ns P2(a||b):: C = 0 +17770 ns P1(a&&b):: C = 1 +17770 ns P2(a&&b):: C = 0 +17772 ns P1(a||b):: C = 1 +17772 ns P2(a||b):: C = 0 +17776 ns P1(a&&b):: C = 0 +17776 ns P2(a&&b):: C = 1 +17778 ns P1(a||b):: C = 1 +17778 ns P2(a||b):: C = 0 +17782 ns P1(a&&b):: C = 0 +17782 ns P2(a&&b):: C = 1 +17784 ns P1(a||b):: C = 1 +17784 ns P2(a||b):: C = 0 +17788 ns P1(a&&b):: C = 0 +17788 ns P2(a&&b):: C = 1 +17790 ns P1(a||b):: C = 1 +17790 ns P2(a||b):: C = 0 +17794 ns P1(a&&b):: C = 1 +17794 ns P2(a&&b):: C = 0 +17796 ns P1(a||b):: C = 1 +17796 ns P2(a||b):: C = 0 +17800 ns P1(a&&b):: C = 0 +17800 ns P2(a&&b):: C = 1 +17802 ns P1(a||b):: C = 0 +17802 ns P2(a||b):: C = 1 +17806 ns P1(a&&b):: C = 1 +17806 ns P2(a&&b):: C = 0 +17808 ns P1(a||b):: C = 1 +17808 ns P2(a||b):: C = 0 +17812 ns P1(a&&b):: C = 0 +17812 ns P2(a&&b):: C = 1 +17814 ns P1(a||b):: C = 1 +17814 ns P2(a||b):: C = 0 +17818 ns P1(a&&b):: C = 0 +17818 ns P2(a&&b):: C = 1 +17820 ns P1(a||b):: C = 1 +17820 ns P2(a||b):: C = 0 +17824 ns P1(a&&b):: C = 1 +17824 ns P2(a&&b):: C = 0 +17826 ns P1(a||b):: C = 1 +17826 ns P2(a||b):: C = 0 +17830 ns P1(a&&b):: C = 0 +17830 ns P2(a&&b):: C = 1 +17832 ns P1(a||b):: C = 1 +17832 ns P2(a||b):: C = 0 +17836 ns P1(a&&b):: C = 1 +17836 ns P2(a&&b):: C = 0 +17838 ns P1(a||b):: C = 0 +17838 ns P2(a||b):: C = 1 +17842 ns P1(a&&b):: C = 0 +17842 ns P2(a&&b):: C = 1 +17844 ns P1(a||b):: C = 0 +17844 ns P2(a||b):: C = 1 +17848 ns P1(a&&b):: C = 0 +17848 ns P2(a&&b):: C = 1 +17850 ns P1(a||b):: C = 1 +17850 ns P2(a||b):: C = 0 +17854 ns P1(a&&b):: C = 0 +17854 ns P2(a&&b):: C = 1 +17856 ns P1(a||b):: C = 1 +17856 ns P2(a||b):: C = 0 +17860 ns P1(a&&b):: C = 1 +17860 ns P2(a&&b):: C = 0 +17862 ns P1(a||b):: C = 1 +17862 ns P2(a||b):: C = 0 +17866 ns P1(a&&b):: C = 0 +17866 ns P2(a&&b):: C = 1 +17868 ns P1(a||b):: C = 1 +17868 ns P2(a||b):: C = 0 +17872 ns P1(a&&b):: C = 0 +17872 ns P2(a&&b):: C = 1 +17874 ns P1(a||b):: C = 1 +17874 ns P2(a||b):: C = 0 +17878 ns P1(a&&b):: C = 1 +17878 ns P2(a&&b):: C = 0 +17880 ns P1(a||b):: C = 1 +17880 ns P2(a||b):: C = 0 +17884 ns P1(a&&b):: C = 0 +17884 ns P2(a&&b):: C = 1 +17886 ns P1(a||b):: C = 0 +17886 ns P2(a||b):: C = 1 +17890 ns P1(a&&b):: C = 0 +17890 ns P2(a&&b):: C = 1 +17892 ns P1(a||b):: C = 0 +17892 ns P2(a||b):: C = 1 +17896 ns P1(a&&b):: C = 0 +17896 ns P2(a&&b):: C = 1 +17898 ns P1(a||b):: C = 0 +17898 ns P2(a||b):: C = 1 +17902 ns P1(a&&b):: C = 0 +17902 ns P2(a&&b):: C = 1 +17904 ns P1(a||b):: C = 0 +17904 ns P2(a||b):: C = 1 +17908 ns P1(a&&b):: C = 0 +17908 ns P2(a&&b):: C = 1 +17910 ns P1(a||b):: C = 1 +17910 ns P2(a||b):: C = 0 +17914 ns P1(a&&b):: C = 1 +17914 ns P2(a&&b):: C = 0 +17916 ns P1(a||b):: C = 1 +17916 ns P2(a||b):: C = 0 +17920 ns P1(a&&b):: C = 0 +17920 ns P2(a&&b):: C = 1 +17922 ns P1(a||b):: C = 0 +17922 ns P2(a||b):: C = 1 +17926 ns P1(a&&b):: C = 0 +17926 ns P2(a&&b):: C = 1 +17928 ns P1(a||b):: C = 0 +17928 ns P2(a||b):: C = 1 +17932 ns P1(a&&b):: C = 1 +17932 ns P2(a&&b):: C = 0 +17934 ns P1(a||b):: C = 0 +17934 ns P2(a||b):: C = 1 +17938 ns P1(a&&b):: C = 0 +17938 ns P2(a&&b):: C = 1 +17940 ns P1(a||b):: C = 1 +17940 ns P2(a||b):: C = 0 +17944 ns P1(a&&b):: C = 0 +17944 ns P2(a&&b):: C = 1 +17946 ns P1(a||b):: C = 0 +17946 ns P2(a||b):: C = 1 +17950 ns P1(a&&b):: C = 1 +17950 ns P2(a&&b):: C = 0 +17952 ns P1(a||b):: C = 1 +17952 ns P2(a||b):: C = 0 +17956 ns P1(a&&b):: C = 1 +17956 ns P2(a&&b):: C = 0 +17958 ns P1(a||b):: C = 0 +17958 ns P2(a||b):: C = 1 +17962 ns P1(a&&b):: C = 1 +17962 ns P2(a&&b):: C = 0 +17964 ns P1(a||b):: C = 1 +17964 ns P2(a||b):: C = 0 +17968 ns P1(a&&b):: C = 0 +17968 ns P2(a&&b):: C = 1 +17970 ns P1(a||b):: C = 1 +17970 ns P2(a||b):: C = 0 +17974 ns P1(a&&b):: C = 1 +17974 ns P2(a&&b):: C = 0 +17976 ns P1(a||b):: C = 1 +17976 ns P2(a||b):: C = 0 +17980 ns P1(a&&b):: C = 0 +17980 ns P2(a&&b):: C = 1 +17982 ns P1(a||b):: C = 1 +17982 ns P2(a||b):: C = 0 +17986 ns P1(a&&b):: C = 0 +17986 ns P2(a&&b):: C = 1 +17988 ns P1(a||b):: C = 1 +17988 ns P2(a||b):: C = 0 +17992 ns P1(a&&b):: C = 0 +17992 ns P2(a&&b):: C = 1 +17994 ns P1(a||b):: C = 1 +17994 ns P2(a||b):: C = 0 +17998 ns P1(a&&b):: C = 1 +17998 ns P2(a&&b):: C = 0 +18 us P1(a||b):: C = 0 +18 us P2(a||b):: C = 1 +18004 ns P1(a&&b):: C = 0 +18004 ns P2(a&&b):: C = 1 +18006 ns P1(a||b):: C = 0 +18006 ns P2(a||b):: C = 1 +18010 ns P1(a&&b):: C = 0 +18010 ns P2(a&&b):: C = 1 +18012 ns P1(a||b):: C = 1 +18012 ns P2(a||b):: C = 0 +18016 ns P1(a&&b):: C = 0 +18016 ns P2(a&&b):: C = 1 +18018 ns P1(a||b):: C = 0 +18018 ns P2(a||b):: C = 1 +18022 ns P1(a&&b):: C = 1 +18022 ns P2(a&&b):: C = 0 +18024 ns P1(a||b):: C = 1 +18024 ns P2(a||b):: C = 0 +18028 ns P1(a&&b):: C = 0 +18028 ns P2(a&&b):: C = 1 +18030 ns P1(a||b):: C = 1 +18030 ns P2(a||b):: C = 0 +18034 ns P1(a&&b):: C = 0 +18034 ns P2(a&&b):: C = 1 +18036 ns P1(a||b):: C = 1 +18036 ns P2(a||b):: C = 0 +18040 ns P1(a&&b):: C = 0 +18040 ns P2(a&&b):: C = 1 +18042 ns P1(a||b):: C = 1 +18042 ns P2(a||b):: C = 0 +18046 ns P1(a&&b):: C = 0 +18046 ns P2(a&&b):: C = 1 +18048 ns P1(a||b):: C = 0 +18048 ns P2(a||b):: C = 1 +18052 ns P1(a&&b):: C = 0 +18052 ns P2(a&&b):: C = 1 +18054 ns P1(a||b):: C = 1 +18054 ns P2(a||b):: C = 0 +18058 ns P1(a&&b):: C = 1 +18058 ns P2(a&&b):: C = 0 +18060 ns P1(a||b):: C = 1 +18060 ns P2(a||b):: C = 0 +18064 ns P1(a&&b):: C = 0 +18064 ns P2(a&&b):: C = 1 +18066 ns P1(a||b):: C = 1 +18066 ns P2(a||b):: C = 0 +18070 ns P1(a&&b):: C = 0 +18070 ns P2(a&&b):: C = 1 +18072 ns P1(a||b):: C = 1 +18072 ns P2(a||b):: C = 0 +18076 ns P1(a&&b):: C = 1 +18076 ns P2(a&&b):: C = 0 +18078 ns P1(a||b):: C = 1 +18078 ns P2(a||b):: C = 0 +18082 ns P1(a&&b):: C = 0 +18082 ns P2(a&&b):: C = 1 +18084 ns P1(a||b):: C = 0 +18084 ns P2(a||b):: C = 1 +18088 ns P1(a&&b):: C = 0 +18088 ns P2(a&&b):: C = 1 +18090 ns P1(a||b):: C = 1 +18090 ns P2(a||b):: C = 0 +18094 ns P1(a&&b):: C = 0 +18094 ns P2(a&&b):: C = 1 +18096 ns P1(a||b):: C = 1 +18096 ns P2(a||b):: C = 0 +18100 ns P1(a&&b):: C = 0 +18100 ns P2(a&&b):: C = 1 +18102 ns P1(a||b):: C = 1 +18102 ns P2(a||b):: C = 0 +18106 ns P1(a&&b):: C = 0 +18106 ns P2(a&&b):: C = 1 +18108 ns P1(a||b):: C = 1 +18108 ns P2(a||b):: C = 0 +18112 ns P1(a&&b):: C = 1 +18112 ns P2(a&&b):: C = 0 +18114 ns P1(a||b):: C = 1 +18114 ns P2(a||b):: C = 0 +18118 ns P1(a&&b):: C = 0 +18118 ns P2(a&&b):: C = 1 +18120 ns P1(a||b):: C = 0 +18120 ns P2(a||b):: C = 1 +18124 ns P1(a&&b):: C = 0 +18124 ns P2(a&&b):: C = 1 +18126 ns P1(a||b):: C = 0 +18126 ns P2(a||b):: C = 1 +18130 ns P1(a&&b):: C = 1 +18130 ns P2(a&&b):: C = 0 +18132 ns P1(a||b):: C = 0 +18132 ns P2(a||b):: C = 1 +18136 ns P1(a&&b):: C = 1 +18136 ns P2(a&&b):: C = 0 +18138 ns P1(a||b):: C = 1 +18138 ns P2(a||b):: C = 0 +18142 ns P1(a&&b):: C = 0 +18142 ns P2(a&&b):: C = 1 +18144 ns P1(a||b):: C = 1 +18144 ns P2(a||b):: C = 0 +18148 ns P1(a&&b):: C = 1 +18148 ns P2(a&&b):: C = 0 +18150 ns P1(a||b):: C = 1 +18150 ns P2(a||b):: C = 0 +18154 ns P1(a&&b):: C = 1 +18154 ns P2(a&&b):: C = 0 +18156 ns P1(a||b):: C = 1 +18156 ns P2(a||b):: C = 0 +18160 ns P1(a&&b):: C = 1 +18160 ns P2(a&&b):: C = 0 +18162 ns P1(a||b):: C = 1 +18162 ns P2(a||b):: C = 0 +18166 ns P1(a&&b):: C = 0 +18166 ns P2(a&&b):: C = 1 +18168 ns P1(a||b):: C = 1 +18168 ns P2(a||b):: C = 0 +18172 ns P1(a&&b):: C = 0 +18172 ns P2(a&&b):: C = 1 +18174 ns P1(a||b):: C = 0 +18174 ns P2(a||b):: C = 1 +18178 ns P1(a&&b):: C = 0 +18178 ns P2(a&&b):: C = 1 +18180 ns P1(a||b):: C = 1 +18180 ns P2(a||b):: C = 0 +18184 ns P1(a&&b):: C = 0 +18184 ns P2(a&&b):: C = 1 +18186 ns P1(a||b):: C = 1 +18186 ns P2(a||b):: C = 0 +18190 ns P1(a&&b):: C = 0 +18190 ns P2(a&&b):: C = 1 +18192 ns P1(a||b):: C = 0 +18192 ns P2(a||b):: C = 1 +18196 ns P1(a&&b):: C = 1 +18196 ns P2(a&&b):: C = 0 +18198 ns P1(a||b):: C = 1 +18198 ns P2(a||b):: C = 0 +18202 ns P1(a&&b):: C = 1 +18202 ns P2(a&&b):: C = 0 +18204 ns P1(a||b):: C = 1 +18204 ns P2(a||b):: C = 0 +18208 ns P1(a&&b):: C = 0 +18208 ns P2(a&&b):: C = 1 +18210 ns P1(a||b):: C = 1 +18210 ns P2(a||b):: C = 0 +18214 ns P1(a&&b):: C = 0 +18214 ns P2(a&&b):: C = 1 +18216 ns P1(a||b):: C = 1 +18216 ns P2(a||b):: C = 0 +18220 ns P1(a&&b):: C = 0 +18220 ns P2(a&&b):: C = 1 +18222 ns P1(a||b):: C = 0 +18222 ns P2(a||b):: C = 1 +18226 ns P1(a&&b):: C = 0 +18226 ns P2(a&&b):: C = 1 +18228 ns P1(a||b):: C = 1 +18228 ns P2(a||b):: C = 0 +18232 ns P1(a&&b):: C = 0 +18232 ns P2(a&&b):: C = 1 +18234 ns P1(a||b):: C = 1 +18234 ns P2(a||b):: C = 0 +18238 ns P1(a&&b):: C = 1 +18238 ns P2(a&&b):: C = 0 +18240 ns P1(a||b):: C = 1 +18240 ns P2(a||b):: C = 0 +18244 ns P1(a&&b):: C = 0 +18244 ns P2(a&&b):: C = 1 +18246 ns P1(a||b):: C = 1 +18246 ns P2(a||b):: C = 0 +18250 ns P1(a&&b):: C = 0 +18250 ns P2(a&&b):: C = 1 +18252 ns P1(a||b):: C = 1 +18252 ns P2(a||b):: C = 0 +18256 ns P1(a&&b):: C = 0 +18256 ns P2(a&&b):: C = 1 +18258 ns P1(a||b):: C = 1 +18258 ns P2(a||b):: C = 0 +18262 ns P1(a&&b):: C = 1 +18262 ns P2(a&&b):: C = 0 +18264 ns P1(a||b):: C = 0 +18264 ns P2(a||b):: C = 1 +18268 ns P1(a&&b):: C = 0 +18268 ns P2(a&&b):: C = 1 +18270 ns P1(a||b):: C = 0 +18270 ns P2(a||b):: C = 1 +18274 ns P1(a&&b):: C = 0 +18274 ns P2(a&&b):: C = 1 +18276 ns P1(a||b):: C = 0 +18276 ns P2(a||b):: C = 1 +18280 ns P1(a&&b):: C = 0 +18280 ns P2(a&&b):: C = 1 +18282 ns P1(a||b):: C = 0 +18282 ns P2(a||b):: C = 1 +18286 ns P1(a&&b):: C = 1 +18286 ns P2(a&&b):: C = 0 +18288 ns P1(a||b):: C = 0 +18288 ns P2(a||b):: C = 1 +18292 ns P1(a&&b):: C = 0 +18292 ns P2(a&&b):: C = 1 +18294 ns P1(a||b):: C = 1 +18294 ns P2(a||b):: C = 0 +18298 ns P1(a&&b):: C = 0 +18298 ns P2(a&&b):: C = 1 +18300 ns P1(a||b):: C = 1 +18300 ns P2(a||b):: C = 0 +18304 ns P1(a&&b):: C = 0 +18304 ns P2(a&&b):: C = 1 +18306 ns P1(a||b):: C = 1 +18306 ns P2(a||b):: C = 0 +18310 ns P1(a&&b):: C = 0 +18310 ns P2(a&&b):: C = 1 +18312 ns P1(a||b):: C = 0 +18312 ns P2(a||b):: C = 1 +18316 ns P1(a&&b):: C = 0 +18316 ns P2(a&&b):: C = 1 +18318 ns P1(a||b):: C = 0 +18318 ns P2(a||b):: C = 1 +18322 ns P1(a&&b):: C = 0 +18322 ns P2(a&&b):: C = 1 +18324 ns P1(a||b):: C = 1 +18324 ns P2(a||b):: C = 0 +18328 ns P1(a&&b):: C = 0 +18328 ns P2(a&&b):: C = 1 +18330 ns P1(a||b):: C = 1 +18330 ns P2(a||b):: C = 0 +18334 ns P1(a&&b):: C = 0 +18334 ns P2(a&&b):: C = 1 +18336 ns P1(a||b):: C = 1 +18336 ns P2(a||b):: C = 0 +18340 ns P1(a&&b):: C = 1 +18340 ns P2(a&&b):: C = 0 +18342 ns P1(a||b):: C = 1 +18342 ns P2(a||b):: C = 0 +18346 ns P1(a&&b):: C = 1 +18346 ns P2(a&&b):: C = 0 +18348 ns P1(a||b):: C = 1 +18348 ns P2(a||b):: C = 0 +18352 ns P1(a&&b):: C = 0 +18352 ns P2(a&&b):: C = 1 +18354 ns P1(a||b):: C = 1 +18354 ns P2(a||b):: C = 0 +18358 ns P1(a&&b):: C = 0 +18358 ns P2(a&&b):: C = 1 +18360 ns P1(a||b):: C = 1 +18360 ns P2(a||b):: C = 0 +18364 ns P1(a&&b):: C = 0 +18364 ns P2(a&&b):: C = 1 +18366 ns P1(a||b):: C = 1 +18366 ns P2(a||b):: C = 0 +18370 ns P1(a&&b):: C = 0 +18370 ns P2(a&&b):: C = 1 +18372 ns P1(a||b):: C = 0 +18372 ns P2(a||b):: C = 1 +18376 ns P1(a&&b):: C = 1 +18376 ns P2(a&&b):: C = 0 +18378 ns P1(a||b):: C = 1 +18378 ns P2(a||b):: C = 0 +18382 ns P1(a&&b):: C = 1 +18382 ns P2(a&&b):: C = 0 +18384 ns P1(a||b):: C = 1 +18384 ns P2(a||b):: C = 0 +18388 ns P1(a&&b):: C = 0 +18388 ns P2(a&&b):: C = 1 +18390 ns P1(a||b):: C = 0 +18390 ns P2(a||b):: C = 1 +18394 ns P1(a&&b):: C = 0 +18394 ns P2(a&&b):: C = 1 +18396 ns P1(a||b):: C = 0 +18396 ns P2(a||b):: C = 1 +18400 ns P1(a&&b):: C = 1 +18400 ns P2(a&&b):: C = 0 +18402 ns P1(a||b):: C = 1 +18402 ns P2(a||b):: C = 0 +18406 ns P1(a&&b):: C = 0 +18406 ns P2(a&&b):: C = 1 +18408 ns P1(a||b):: C = 1 +18408 ns P2(a||b):: C = 0 +18412 ns P1(a&&b):: C = 0 +18412 ns P2(a&&b):: C = 1 +18414 ns P1(a||b):: C = 1 +18414 ns P2(a||b):: C = 0 +18418 ns P1(a&&b):: C = 0 +18418 ns P2(a&&b):: C = 1 +18420 ns P1(a||b):: C = 1 +18420 ns P2(a||b):: C = 0 +18424 ns P1(a&&b):: C = 0 +18424 ns P2(a&&b):: C = 1 +18426 ns P1(a||b):: C = 1 +18426 ns P2(a||b):: C = 0 +18430 ns P1(a&&b):: C = 0 +18430 ns P2(a&&b):: C = 1 +18432 ns P1(a||b):: C = 1 +18432 ns P2(a||b):: C = 0 +18436 ns P1(a&&b):: C = 0 +18436 ns P2(a&&b):: C = 1 +18438 ns P1(a||b):: C = 1 +18438 ns P2(a||b):: C = 0 +18442 ns P1(a&&b):: C = 1 +18442 ns P2(a&&b):: C = 0 +18444 ns P1(a||b):: C = 1 +18444 ns P2(a||b):: C = 0 +18448 ns P1(a&&b):: C = 0 +18448 ns P2(a&&b):: C = 1 +18450 ns P1(a||b):: C = 1 +18450 ns P2(a||b):: C = 0 +18454 ns P1(a&&b):: C = 0 +18454 ns P2(a&&b):: C = 1 +18456 ns P1(a||b):: C = 1 +18456 ns P2(a||b):: C = 0 +18460 ns P1(a&&b):: C = 0 +18460 ns P2(a&&b):: C = 1 +18462 ns P1(a||b):: C = 1 +18462 ns P2(a||b):: C = 0 +18466 ns P1(a&&b):: C = 1 +18466 ns P2(a&&b):: C = 0 +18468 ns P1(a||b):: C = 1 +18468 ns P2(a||b):: C = 0 +18472 ns P1(a&&b):: C = 0 +18472 ns P2(a&&b):: C = 1 +18474 ns P1(a||b):: C = 0 +18474 ns P2(a||b):: C = 1 +18478 ns P1(a&&b):: C = 1 +18478 ns P2(a&&b):: C = 0 +18480 ns P1(a||b):: C = 1 +18480 ns P2(a||b):: C = 0 +18484 ns P1(a&&b):: C = 0 +18484 ns P2(a&&b):: C = 1 +18486 ns P1(a||b):: C = 1 +18486 ns P2(a||b):: C = 0 +18490 ns P1(a&&b):: C = 0 +18490 ns P2(a&&b):: C = 1 +18492 ns P1(a||b):: C = 0 +18492 ns P2(a||b):: C = 1 +18496 ns P1(a&&b):: C = 0 +18496 ns P2(a&&b):: C = 1 +18498 ns P1(a||b):: C = 1 +18498 ns P2(a||b):: C = 0 +18502 ns P1(a&&b):: C = 0 +18502 ns P2(a&&b):: C = 1 +18504 ns P1(a||b):: C = 0 +18504 ns P2(a||b):: C = 1 +18508 ns P1(a&&b):: C = 0 +18508 ns P2(a&&b):: C = 1 +18510 ns P1(a||b):: C = 1 +18510 ns P2(a||b):: C = 0 +18514 ns P1(a&&b):: C = 1 +18514 ns P2(a&&b):: C = 0 +18516 ns P1(a||b):: C = 1 +18516 ns P2(a||b):: C = 0 +18520 ns P1(a&&b):: C = 0 +18520 ns P2(a&&b):: C = 1 +18522 ns P1(a||b):: C = 1 +18522 ns P2(a||b):: C = 0 +18526 ns P1(a&&b):: C = 0 +18526 ns P2(a&&b):: C = 1 +18528 ns P1(a||b):: C = 1 +18528 ns P2(a||b):: C = 0 +18532 ns P1(a&&b):: C = 0 +18532 ns P2(a&&b):: C = 1 +18534 ns P1(a||b):: C = 1 +18534 ns P2(a||b):: C = 0 +18538 ns P1(a&&b):: C = 0 +18538 ns P2(a&&b):: C = 1 +18540 ns P1(a||b):: C = 0 +18540 ns P2(a||b):: C = 1 +18544 ns P1(a&&b):: C = 0 +18544 ns P2(a&&b):: C = 1 +18546 ns P1(a||b):: C = 1 +18546 ns P2(a||b):: C = 0 +18550 ns P1(a&&b):: C = 0 +18550 ns P2(a&&b):: C = 1 +18552 ns P1(a||b):: C = 1 +18552 ns P2(a||b):: C = 0 +18556 ns P1(a&&b):: C = 0 +18556 ns P2(a&&b):: C = 1 +18558 ns P1(a||b):: C = 0 +18558 ns P2(a||b):: C = 1 +18562 ns P1(a&&b):: C = 1 +18562 ns P2(a&&b):: C = 0 +18564 ns P1(a||b):: C = 1 +18564 ns P2(a||b):: C = 0 +18568 ns P1(a&&b):: C = 0 +18568 ns P2(a&&b):: C = 1 +18570 ns P1(a||b):: C = 1 +18570 ns P2(a||b):: C = 0 +18574 ns P1(a&&b):: C = 0 +18574 ns P2(a&&b):: C = 1 +18576 ns P1(a||b):: C = 0 +18576 ns P2(a||b):: C = 1 +18580 ns P1(a&&b):: C = 0 +18580 ns P2(a&&b):: C = 1 +18582 ns P1(a||b):: C = 1 +18582 ns P2(a||b):: C = 0 +18586 ns P1(a&&b):: C = 1 +18586 ns P2(a&&b):: C = 0 +18588 ns P1(a||b):: C = 1 +18588 ns P2(a||b):: C = 0 +18592 ns P1(a&&b):: C = 1 +18592 ns P2(a&&b):: C = 0 +18594 ns P1(a||b):: C = 1 +18594 ns P2(a||b):: C = 0 +18598 ns P1(a&&b):: C = 0 +18598 ns P2(a&&b):: C = 1 +18600 ns P1(a||b):: C = 0 +18600 ns P2(a||b):: C = 1 +18604 ns P1(a&&b):: C = 0 +18604 ns P2(a&&b):: C = 1 +18606 ns P1(a||b):: C = 0 +18606 ns P2(a||b):: C = 1 +18610 ns P1(a&&b):: C = 0 +18610 ns P2(a&&b):: C = 1 +18612 ns P1(a||b):: C = 0 +18612 ns P2(a||b):: C = 1 +18616 ns P1(a&&b):: C = 0 +18616 ns P2(a&&b):: C = 1 +18618 ns P1(a||b):: C = 1 +18618 ns P2(a||b):: C = 0 +18622 ns P1(a&&b):: C = 1 +18622 ns P2(a&&b):: C = 0 +18624 ns P1(a||b):: C = 1 +18624 ns P2(a||b):: C = 0 +18628 ns P1(a&&b):: C = 0 +18628 ns P2(a&&b):: C = 1 +18630 ns P1(a||b):: C = 0 +18630 ns P2(a||b):: C = 1 +18634 ns P1(a&&b):: C = 0 +18634 ns P2(a&&b):: C = 1 +18636 ns P1(a||b):: C = 1 +18636 ns P2(a||b):: C = 0 +18640 ns P1(a&&b):: C = 0 +18640 ns P2(a&&b):: C = 1 +18642 ns P1(a||b):: C = 0 +18642 ns P2(a||b):: C = 1 +18646 ns P1(a&&b):: C = 0 +18646 ns P2(a&&b):: C = 1 +18648 ns P1(a||b):: C = 1 +18648 ns P2(a||b):: C = 0 +18652 ns P1(a&&b):: C = 0 +18652 ns P2(a&&b):: C = 1 +18654 ns P1(a||b):: C = 1 +18654 ns P2(a||b):: C = 0 +18658 ns P1(a&&b):: C = 0 +18658 ns P2(a&&b):: C = 1 +18660 ns P1(a||b):: C = 0 +18660 ns P2(a||b):: C = 1 +18664 ns P1(a&&b):: C = 1 +18664 ns P2(a&&b):: C = 0 +18666 ns P1(a||b):: C = 0 +18666 ns P2(a||b):: C = 1 +18670 ns P1(a&&b):: C = 0 +18670 ns P2(a&&b):: C = 1 +18672 ns P1(a||b):: C = 1 +18672 ns P2(a||b):: C = 0 +18676 ns P1(a&&b):: C = 0 +18676 ns P2(a&&b):: C = 1 +18678 ns P1(a||b):: C = 1 +18678 ns P2(a||b):: C = 0 +18682 ns P1(a&&b):: C = 1 +18682 ns P2(a&&b):: C = 0 +18684 ns P1(a||b):: C = 1 +18684 ns P2(a||b):: C = 0 +18688 ns P1(a&&b):: C = 0 +18688 ns P2(a&&b):: C = 1 +18690 ns P1(a||b):: C = 0 +18690 ns P2(a||b):: C = 1 +18694 ns P1(a&&b):: C = 0 +18694 ns P2(a&&b):: C = 1 +18696 ns P1(a||b):: C = 1 +18696 ns P2(a||b):: C = 0 +18700 ns P1(a&&b):: C = 0 +18700 ns P2(a&&b):: C = 1 +18702 ns P1(a||b):: C = 1 +18702 ns P2(a||b):: C = 0 +18706 ns P1(a&&b):: C = 1 +18706 ns P2(a&&b):: C = 0 +18708 ns P1(a||b):: C = 1 +18708 ns P2(a||b):: C = 0 +18712 ns P1(a&&b):: C = 0 +18712 ns P2(a&&b):: C = 1 +18714 ns P1(a||b):: C = 0 +18714 ns P2(a||b):: C = 1 +18718 ns P1(a&&b):: C = 0 +18718 ns P2(a&&b):: C = 1 +18720 ns P1(a||b):: C = 1 +18720 ns P2(a||b):: C = 0 +18724 ns P1(a&&b):: C = 1 +18724 ns P2(a&&b):: C = 0 +18726 ns P1(a||b):: C = 1 +18726 ns P2(a||b):: C = 0 +18730 ns P1(a&&b):: C = 0 +18730 ns P2(a&&b):: C = 1 +18732 ns P1(a||b):: C = 1 +18732 ns P2(a||b):: C = 0 +18736 ns P1(a&&b):: C = 0 +18736 ns P2(a&&b):: C = 1 +18738 ns P1(a||b):: C = 1 +18738 ns P2(a||b):: C = 0 +18742 ns P1(a&&b):: C = 0 +18742 ns P2(a&&b):: C = 1 +18744 ns P1(a||b):: C = 1 +18744 ns P2(a||b):: C = 0 +18748 ns P1(a&&b):: C = 0 +18748 ns P2(a&&b):: C = 1 +18750 ns P1(a||b):: C = 0 +18750 ns P2(a||b):: C = 1 +18754 ns P1(a&&b):: C = 1 +18754 ns P2(a&&b):: C = 0 +18756 ns P1(a||b):: C = 0 +18756 ns P2(a||b):: C = 1 +18760 ns P1(a&&b):: C = 0 +18760 ns P2(a&&b):: C = 1 +18762 ns P1(a||b):: C = 1 +18762 ns P2(a||b):: C = 0 +18766 ns P1(a&&b):: C = 1 +18766 ns P2(a&&b):: C = 0 +18768 ns P1(a||b):: C = 1 +18768 ns P2(a||b):: C = 0 +18772 ns P1(a&&b):: C = 0 +18772 ns P2(a&&b):: C = 1 +18774 ns P1(a||b):: C = 1 +18774 ns P2(a||b):: C = 0 +18778 ns P1(a&&b):: C = 1 +18778 ns P2(a&&b):: C = 0 +18780 ns P1(a||b):: C = 1 +18780 ns P2(a||b):: C = 0 +18784 ns P1(a&&b):: C = 0 +18784 ns P2(a&&b):: C = 1 +18786 ns P1(a||b):: C = 1 +18786 ns P2(a||b):: C = 0 +18790 ns P1(a&&b):: C = 0 +18790 ns P2(a&&b):: C = 1 +18792 ns P1(a||b):: C = 1 +18792 ns P2(a||b):: C = 0 +18796 ns P1(a&&b):: C = 0 +18796 ns P2(a&&b):: C = 1 +18798 ns P1(a||b):: C = 1 +18798 ns P2(a||b):: C = 0 +18802 ns P1(a&&b):: C = 0 +18802 ns P2(a&&b):: C = 1 +18804 ns P1(a||b):: C = 1 +18804 ns P2(a||b):: C = 0 +18808 ns P1(a&&b):: C = 0 +18808 ns P2(a&&b):: C = 1 +18810 ns P1(a||b):: C = 1 +18810 ns P2(a||b):: C = 0 +18814 ns P1(a&&b):: C = 1 +18814 ns P2(a&&b):: C = 0 +18816 ns P1(a||b):: C = 0 +18816 ns P2(a||b):: C = 1 +18820 ns P1(a&&b):: C = 0 +18820 ns P2(a&&b):: C = 1 +18822 ns P1(a||b):: C = 1 +18822 ns P2(a||b):: C = 0 +18826 ns P1(a&&b):: C = 0 +18826 ns P2(a&&b):: C = 1 +18828 ns P1(a||b):: C = 1 +18828 ns P2(a||b):: C = 0 +18832 ns P1(a&&b):: C = 0 +18832 ns P2(a&&b):: C = 1 +18834 ns P1(a||b):: C = 1 +18834 ns P2(a||b):: C = 0 +18838 ns P1(a&&b):: C = 0 +18838 ns P2(a&&b):: C = 1 +18840 ns P1(a||b):: C = 1 +18840 ns P2(a||b):: C = 0 +18844 ns P1(a&&b):: C = 0 +18844 ns P2(a&&b):: C = 1 +18846 ns P1(a||b):: C = 1 +18846 ns P2(a||b):: C = 0 +18850 ns P1(a&&b):: C = 0 +18850 ns P2(a&&b):: C = 1 +18852 ns P1(a||b):: C = 1 +18852 ns P2(a||b):: C = 0 +18856 ns P1(a&&b):: C = 0 +18856 ns P2(a&&b):: C = 1 +18858 ns P1(a||b):: C = 1 +18858 ns P2(a||b):: C = 0 +18862 ns P1(a&&b):: C = 0 +18862 ns P2(a&&b):: C = 1 +18864 ns P1(a||b):: C = 0 +18864 ns P2(a||b):: C = 1 +18868 ns P1(a&&b):: C = 1 +18868 ns P2(a&&b):: C = 0 +18870 ns P1(a||b):: C = 1 +18870 ns P2(a||b):: C = 0 +18874 ns P1(a&&b):: C = 0 +18874 ns P2(a&&b):: C = 1 +18876 ns P1(a||b):: C = 0 +18876 ns P2(a||b):: C = 1 +18880 ns P1(a&&b):: C = 0 +18880 ns P2(a&&b):: C = 1 +18882 ns P1(a||b):: C = 0 +18882 ns P2(a||b):: C = 1 +18886 ns P1(a&&b):: C = 0 +18886 ns P2(a&&b):: C = 1 +18888 ns P1(a||b):: C = 1 +18888 ns P2(a||b):: C = 0 +18892 ns P1(a&&b):: C = 0 +18892 ns P2(a&&b):: C = 1 +18894 ns P1(a||b):: C = 1 +18894 ns P2(a||b):: C = 0 +18898 ns P1(a&&b):: C = 0 +18898 ns P2(a&&b):: C = 1 +18900 ns P1(a||b):: C = 1 +18900 ns P2(a||b):: C = 0 +18904 ns P1(a&&b):: C = 1 +18904 ns P2(a&&b):: C = 0 +18906 ns P1(a||b):: C = 1 +18906 ns P2(a||b):: C = 0 +18910 ns P1(a&&b):: C = 0 +18910 ns P2(a&&b):: C = 1 +18912 ns P1(a||b):: C = 1 +18912 ns P2(a||b):: C = 0 +18916 ns P1(a&&b):: C = 0 +18916 ns P2(a&&b):: C = 1 +18918 ns P1(a||b):: C = 0 +18918 ns P2(a||b):: C = 1 +18922 ns P1(a&&b):: C = 0 +18922 ns P2(a&&b):: C = 1 +18924 ns P1(a||b):: C = 1 +18924 ns P2(a||b):: C = 0 +18928 ns P1(a&&b):: C = 0 +18928 ns P2(a&&b):: C = 1 +18930 ns P1(a||b):: C = 1 +18930 ns P2(a||b):: C = 0 +18934 ns P1(a&&b):: C = 0 +18934 ns P2(a&&b):: C = 1 +18936 ns P1(a||b):: C = 1 +18936 ns P2(a||b):: C = 0 +18940 ns P1(a&&b):: C = 0 +18940 ns P2(a&&b):: C = 1 +18942 ns P1(a||b):: C = 1 +18942 ns P2(a||b):: C = 0 +18946 ns P1(a&&b):: C = 0 +18946 ns P2(a&&b):: C = 1 +18948 ns P1(a||b):: C = 0 +18948 ns P2(a||b):: C = 1 +18952 ns P1(a&&b):: C = 0 +18952 ns P2(a&&b):: C = 1 +18954 ns P1(a||b):: C = 1 +18954 ns P2(a||b):: C = 0 +18958 ns P1(a&&b):: C = 0 +18958 ns P2(a&&b):: C = 1 +18960 ns P1(a||b):: C = 1 +18960 ns P2(a||b):: C = 0 +18964 ns P1(a&&b):: C = 0 +18964 ns P2(a&&b):: C = 1 +18966 ns P1(a||b):: C = 1 +18966 ns P2(a||b):: C = 0 +18970 ns P1(a&&b):: C = 1 +18970 ns P2(a&&b):: C = 0 +18972 ns P1(a||b):: C = 1 +18972 ns P2(a||b):: C = 0 +18976 ns P1(a&&b):: C = 1 +18976 ns P2(a&&b):: C = 0 +18978 ns P1(a||b):: C = 1 +18978 ns P2(a||b):: C = 0 +18982 ns P1(a&&b):: C = 0 +18982 ns P2(a&&b):: C = 1 +18984 ns P1(a||b):: C = 1 +18984 ns P2(a||b):: C = 0 +18988 ns P1(a&&b):: C = 0 +18988 ns P2(a&&b):: C = 1 +18990 ns P1(a||b):: C = 1 +18990 ns P2(a||b):: C = 0 +18994 ns P1(a&&b):: C = 0 +18994 ns P2(a&&b):: C = 1 +18996 ns P1(a||b):: C = 1 +18996 ns P2(a||b):: C = 0 +19 us P1(a&&b):: C = 1 +19 us P2(a&&b):: C = 0 +19002 ns P1(a||b):: C = 0 +19002 ns P2(a||b):: C = 1 +19006 ns P1(a&&b):: C = 0 +19006 ns P2(a&&b):: C = 1 +19008 ns P1(a||b):: C = 1 +19008 ns P2(a||b):: C = 0 +19012 ns P1(a&&b):: C = 1 +19012 ns P2(a&&b):: C = 0 +19014 ns P1(a||b):: C = 1 +19014 ns P2(a||b):: C = 0 +19018 ns P1(a&&b):: C = 1 +19018 ns P2(a&&b):: C = 0 +19020 ns P1(a||b):: C = 1 +19020 ns P2(a||b):: C = 0 +19024 ns P1(a&&b):: C = 0 +19024 ns P2(a&&b):: C = 1 +19026 ns P1(a||b):: C = 1 +19026 ns P2(a||b):: C = 0 +19030 ns P1(a&&b):: C = 0 +19030 ns P2(a&&b):: C = 1 +19032 ns P1(a||b):: C = 1 +19032 ns P2(a||b):: C = 0 +19036 ns P1(a&&b):: C = 0 +19036 ns P2(a&&b):: C = 1 +19038 ns P1(a||b):: C = 0 +19038 ns P2(a||b):: C = 1 +19042 ns P1(a&&b):: C = 1 +19042 ns P2(a&&b):: C = 0 +19044 ns P1(a||b):: C = 0 +19044 ns P2(a||b):: C = 1 +19048 ns P1(a&&b):: C = 0 +19048 ns P2(a&&b):: C = 1 +19050 ns P1(a||b):: C = 0 +19050 ns P2(a||b):: C = 1 +19054 ns P1(a&&b):: C = 1 +19054 ns P2(a&&b):: C = 0 +19056 ns P1(a||b):: C = 1 +19056 ns P2(a||b):: C = 0 +19060 ns P1(a&&b):: C = 0 +19060 ns P2(a&&b):: C = 1 +19062 ns P1(a||b):: C = 1 +19062 ns P2(a||b):: C = 0 +19066 ns P1(a&&b):: C = 0 +19066 ns P2(a&&b):: C = 1 +19068 ns P1(a||b):: C = 1 +19068 ns P2(a||b):: C = 0 +19072 ns P1(a&&b):: C = 1 +19072 ns P2(a&&b):: C = 0 +19074 ns P1(a||b):: C = 0 +19074 ns P2(a||b):: C = 1 +19078 ns P1(a&&b):: C = 0 +19078 ns P2(a&&b):: C = 1 +19080 ns P1(a||b):: C = 0 +19080 ns P2(a||b):: C = 1 +19084 ns P1(a&&b):: C = 0 +19084 ns P2(a&&b):: C = 1 +19086 ns P1(a||b):: C = 0 +19086 ns P2(a||b):: C = 1 +19090 ns P1(a&&b):: C = 0 +19090 ns P2(a&&b):: C = 1 +19092 ns P1(a||b):: C = 1 +19092 ns P2(a||b):: C = 0 +19096 ns P1(a&&b):: C = 0 +19096 ns P2(a&&b):: C = 1 +19098 ns P1(a||b):: C = 0 +19098 ns P2(a||b):: C = 1 +19102 ns P1(a&&b):: C = 0 +19102 ns P2(a&&b):: C = 1 +19104 ns P1(a||b):: C = 1 +19104 ns P2(a||b):: C = 0 +19108 ns P1(a&&b):: C = 0 +19108 ns P2(a&&b):: C = 1 +19110 ns P1(a||b):: C = 0 +19110 ns P2(a||b):: C = 1 +19114 ns P1(a&&b):: C = 0 +19114 ns P2(a&&b):: C = 1 +19116 ns P1(a||b):: C = 1 +19116 ns P2(a||b):: C = 0 +19120 ns P1(a&&b):: C = 1 +19120 ns P2(a&&b):: C = 0 +19122 ns P1(a||b):: C = 1 +19122 ns P2(a||b):: C = 0 +19126 ns P1(a&&b):: C = 0 +19126 ns P2(a&&b):: C = 1 +19128 ns P1(a||b):: C = 1 +19128 ns P2(a||b):: C = 0 +19132 ns P1(a&&b):: C = 0 +19132 ns P2(a&&b):: C = 1 +19134 ns P1(a||b):: C = 0 +19134 ns P2(a||b):: C = 1 +19138 ns P1(a&&b):: C = 1 +19138 ns P2(a&&b):: C = 0 +19140 ns P1(a||b):: C = 1 +19140 ns P2(a||b):: C = 0 +19144 ns P1(a&&b):: C = 0 +19144 ns P2(a&&b):: C = 1 +19146 ns P1(a||b):: C = 0 +19146 ns P2(a||b):: C = 1 +19150 ns P1(a&&b):: C = 1 +19150 ns P2(a&&b):: C = 0 +19152 ns P1(a||b):: C = 1 +19152 ns P2(a||b):: C = 0 +19156 ns P1(a&&b):: C = 1 +19156 ns P2(a&&b):: C = 0 +19158 ns P1(a||b):: C = 1 +19158 ns P2(a||b):: C = 0 +19162 ns P1(a&&b):: C = 0 +19162 ns P2(a&&b):: C = 1 +19164 ns P1(a||b):: C = 0 +19164 ns P2(a||b):: C = 1 +19168 ns P1(a&&b):: C = 0 +19168 ns P2(a&&b):: C = 1 +19170 ns P1(a||b):: C = 1 +19170 ns P2(a||b):: C = 0 +19174 ns P1(a&&b):: C = 0 +19174 ns P2(a&&b):: C = 1 +19176 ns P1(a||b):: C = 1 +19176 ns P2(a||b):: C = 0 +19180 ns P1(a&&b):: C = 0 +19180 ns P2(a&&b):: C = 1 +19182 ns P1(a||b):: C = 1 +19182 ns P2(a||b):: C = 0 +19186 ns P1(a&&b):: C = 0 +19186 ns P2(a&&b):: C = 1 +19188 ns P1(a||b):: C = 1 +19188 ns P2(a||b):: C = 0 +19192 ns P1(a&&b):: C = 0 +19192 ns P2(a&&b):: C = 1 +19194 ns P1(a||b):: C = 1 +19194 ns P2(a||b):: C = 0 +19198 ns P1(a&&b):: C = 0 +19198 ns P2(a&&b):: C = 1 +19200 ns P1(a||b):: C = 1 +19200 ns P2(a||b):: C = 0 +19204 ns P1(a&&b):: C = 0 +19204 ns P2(a&&b):: C = 1 +19206 ns P1(a||b):: C = 1 +19206 ns P2(a||b):: C = 0 +19210 ns P1(a&&b):: C = 0 +19210 ns P2(a&&b):: C = 1 +19212 ns P1(a||b):: C = 0 +19212 ns P2(a||b):: C = 1 +19216 ns P1(a&&b):: C = 0 +19216 ns P2(a&&b):: C = 1 +19218 ns P1(a||b):: C = 0 +19218 ns P2(a||b):: C = 1 +19222 ns P1(a&&b):: C = 0 +19222 ns P2(a&&b):: C = 1 +19224 ns P1(a||b):: C = 1 +19224 ns P2(a||b):: C = 0 +19228 ns P1(a&&b):: C = 1 +19228 ns P2(a&&b):: C = 0 +19230 ns P1(a||b):: C = 1 +19230 ns P2(a||b):: C = 0 +19234 ns P1(a&&b):: C = 0 +19234 ns P2(a&&b):: C = 1 +19236 ns P1(a||b):: C = 1 +19236 ns P2(a||b):: C = 0 +19240 ns P1(a&&b):: C = 1 +19240 ns P2(a&&b):: C = 0 +19242 ns P1(a||b):: C = 0 +19242 ns P2(a||b):: C = 1 +19246 ns P1(a&&b):: C = 1 +19246 ns P2(a&&b):: C = 0 +19248 ns P1(a||b):: C = 1 +19248 ns P2(a||b):: C = 0 +19252 ns P1(a&&b):: C = 0 +19252 ns P2(a&&b):: C = 1 +19254 ns P1(a||b):: C = 1 +19254 ns P2(a||b):: C = 0 +19258 ns P1(a&&b):: C = 0 +19258 ns P2(a&&b):: C = 1 +19260 ns P1(a||b):: C = 1 +19260 ns P2(a||b):: C = 0 +19264 ns P1(a&&b):: C = 1 +19264 ns P2(a&&b):: C = 0 +19266 ns P1(a||b):: C = 1 +19266 ns P2(a||b):: C = 0 +19270 ns P1(a&&b):: C = 0 +19270 ns P2(a&&b):: C = 1 +19272 ns P1(a||b):: C = 1 +19272 ns P2(a||b):: C = 0 +19276 ns P1(a&&b):: C = 0 +19276 ns P2(a&&b):: C = 1 +19278 ns P1(a||b):: C = 1 +19278 ns P2(a||b):: C = 0 +19282 ns P1(a&&b):: C = 0 +19282 ns P2(a&&b):: C = 1 +19284 ns P1(a||b):: C = 1 +19284 ns P2(a||b):: C = 0 +19288 ns P1(a&&b):: C = 0 +19288 ns P2(a&&b):: C = 1 +19290 ns P1(a||b):: C = 1 +19290 ns P2(a||b):: C = 0 +19294 ns P1(a&&b):: C = 0 +19294 ns P2(a&&b):: C = 1 +19296 ns P1(a||b):: C = 1 +19296 ns P2(a||b):: C = 0 +19300 ns P1(a&&b):: C = 0 +19300 ns P2(a&&b):: C = 1 +19302 ns P1(a||b):: C = 1 +19302 ns P2(a||b):: C = 0 +19306 ns P1(a&&b):: C = 0 +19306 ns P2(a&&b):: C = 1 +19308 ns P1(a||b):: C = 1 +19308 ns P2(a||b):: C = 0 +19312 ns P1(a&&b):: C = 0 +19312 ns P2(a&&b):: C = 1 +19314 ns P1(a||b):: C = 0 +19314 ns P2(a||b):: C = 1 +19318 ns P1(a&&b):: C = 0 +19318 ns P2(a&&b):: C = 1 +19320 ns P1(a||b):: C = 1 +19320 ns P2(a||b):: C = 0 +19324 ns P1(a&&b):: C = 0 +19324 ns P2(a&&b):: C = 1 +19326 ns P1(a||b):: C = 1 +19326 ns P2(a||b):: C = 0 +19330 ns P1(a&&b):: C = 0 +19330 ns P2(a&&b):: C = 1 +19332 ns P1(a||b):: C = 1 +19332 ns P2(a||b):: C = 0 +19336 ns P1(a&&b):: C = 0 +19336 ns P2(a&&b):: C = 1 +19338 ns P1(a||b):: C = 0 +19338 ns P2(a||b):: C = 1 +19342 ns P1(a&&b):: C = 0 +19342 ns P2(a&&b):: C = 1 +19344 ns P1(a||b):: C = 1 +19344 ns P2(a||b):: C = 0 +19348 ns P1(a&&b):: C = 0 +19348 ns P2(a&&b):: C = 1 +19350 ns P1(a||b):: C = 1 +19350 ns P2(a||b):: C = 0 +19354 ns P1(a&&b):: C = 0 +19354 ns P2(a&&b):: C = 1 +19356 ns P1(a||b):: C = 1 +19356 ns P2(a||b):: C = 0 +19360 ns P1(a&&b):: C = 0 +19360 ns P2(a&&b):: C = 1 +19362 ns P1(a||b):: C = 1 +19362 ns P2(a||b):: C = 0 +19366 ns P1(a&&b):: C = 1 +19366 ns P2(a&&b):: C = 0 +19368 ns P1(a||b):: C = 1 +19368 ns P2(a||b):: C = 0 +19372 ns P1(a&&b):: C = 1 +19372 ns P2(a&&b):: C = 0 +19374 ns P1(a||b):: C = 1 +19374 ns P2(a||b):: C = 0 +19378 ns P1(a&&b):: C = 0 +19378 ns P2(a&&b):: C = 1 +19380 ns P1(a||b):: C = 1 +19380 ns P2(a||b):: C = 0 +19384 ns P1(a&&b):: C = 0 +19384 ns P2(a&&b):: C = 1 +19386 ns P1(a||b):: C = 1 +19386 ns P2(a||b):: C = 0 +19390 ns P1(a&&b):: C = 0 +19390 ns P2(a&&b):: C = 1 +19392 ns P1(a||b):: C = 1 +19392 ns P2(a||b):: C = 0 +19396 ns P1(a&&b):: C = 0 +19396 ns P2(a&&b):: C = 1 +19398 ns P1(a||b):: C = 1 +19398 ns P2(a||b):: C = 0 +19402 ns P1(a&&b):: C = 0 +19402 ns P2(a&&b):: C = 1 +19404 ns P1(a||b):: C = 1 +19404 ns P2(a||b):: C = 0 +19408 ns P1(a&&b):: C = 0 +19408 ns P2(a&&b):: C = 1 +19410 ns P1(a||b):: C = 1 +19410 ns P2(a||b):: C = 0 +19414 ns P1(a&&b):: C = 0 +19414 ns P2(a&&b):: C = 1 +19416 ns P1(a||b):: C = 1 +19416 ns P2(a||b):: C = 0 +19420 ns P1(a&&b):: C = 0 +19420 ns P2(a&&b):: C = 1 +19422 ns P1(a||b):: C = 1 +19422 ns P2(a||b):: C = 0 +19426 ns P1(a&&b):: C = 0 +19426 ns P2(a&&b):: C = 1 +19428 ns P1(a||b):: C = 1 +19428 ns P2(a||b):: C = 0 +19432 ns P1(a&&b):: C = 0 +19432 ns P2(a&&b):: C = 1 +19434 ns P1(a||b):: C = 0 +19434 ns P2(a||b):: C = 1 +19438 ns P1(a&&b):: C = 0 +19438 ns P2(a&&b):: C = 1 +19440 ns P1(a||b):: C = 1 +19440 ns P2(a||b):: C = 0 +19444 ns P1(a&&b):: C = 0 +19444 ns P2(a&&b):: C = 1 +19446 ns P1(a||b):: C = 1 +19446 ns P2(a||b):: C = 0 +19450 ns P1(a&&b):: C = 1 +19450 ns P2(a&&b):: C = 0 +19452 ns P1(a||b):: C = 0 +19452 ns P2(a||b):: C = 1 +19456 ns P1(a&&b):: C = 1 +19456 ns P2(a&&b):: C = 0 +19458 ns P1(a||b):: C = 0 +19458 ns P2(a||b):: C = 1 +19462 ns P1(a&&b):: C = 0 +19462 ns P2(a&&b):: C = 1 +19464 ns P1(a||b):: C = 1 +19464 ns P2(a||b):: C = 0 +19468 ns P1(a&&b):: C = 0 +19468 ns P2(a&&b):: C = 1 +19470 ns P1(a||b):: C = 1 +19470 ns P2(a||b):: C = 0 +19474 ns P1(a&&b):: C = 0 +19474 ns P2(a&&b):: C = 1 +19476 ns P1(a||b):: C = 1 +19476 ns P2(a||b):: C = 0 +19480 ns P1(a&&b):: C = 0 +19480 ns P2(a&&b):: C = 1 +19482 ns P1(a||b):: C = 1 +19482 ns P2(a||b):: C = 0 +19486 ns P1(a&&b):: C = 1 +19486 ns P2(a&&b):: C = 0 +19488 ns P1(a||b):: C = 0 +19488 ns P2(a||b):: C = 1 +19492 ns P1(a&&b):: C = 0 +19492 ns P2(a&&b):: C = 1 +19494 ns P1(a||b):: C = 1 +19494 ns P2(a||b):: C = 0 +19498 ns P1(a&&b):: C = 0 +19498 ns P2(a&&b):: C = 1 +19500 ns P1(a||b):: C = 0 +19500 ns P2(a||b):: C = 1 +19504 ns P1(a&&b):: C = 1 +19504 ns P2(a&&b):: C = 0 +19506 ns P1(a||b):: C = 0 +19506 ns P2(a||b):: C = 1 +19510 ns P1(a&&b):: C = 0 +19510 ns P2(a&&b):: C = 1 +19512 ns P1(a||b):: C = 1 +19512 ns P2(a||b):: C = 0 +19516 ns P1(a&&b):: C = 1 +19516 ns P2(a&&b):: C = 0 +19518 ns P1(a||b):: C = 0 +19518 ns P2(a||b):: C = 1 +19522 ns P1(a&&b):: C = 0 +19522 ns P2(a&&b):: C = 1 +19524 ns P1(a||b):: C = 1 +19524 ns P2(a||b):: C = 0 +19528 ns P1(a&&b):: C = 1 +19528 ns P2(a&&b):: C = 0 +19530 ns P1(a||b):: C = 1 +19530 ns P2(a||b):: C = 0 +19534 ns P1(a&&b):: C = 1 +19534 ns P2(a&&b):: C = 0 +19536 ns P1(a||b):: C = 1 +19536 ns P2(a||b):: C = 0 +19540 ns P1(a&&b):: C = 1 +19540 ns P2(a&&b):: C = 0 +19542 ns P1(a||b):: C = 0 +19542 ns P2(a||b):: C = 1 +19546 ns P1(a&&b):: C = 0 +19546 ns P2(a&&b):: C = 1 +19548 ns P1(a||b):: C = 1 +19548 ns P2(a||b):: C = 0 +19552 ns P1(a&&b):: C = 1 +19552 ns P2(a&&b):: C = 0 +19554 ns P1(a||b):: C = 1 +19554 ns P2(a||b):: C = 0 +19558 ns P1(a&&b):: C = 0 +19558 ns P2(a&&b):: C = 1 +19560 ns P1(a||b):: C = 1 +19560 ns P2(a||b):: C = 0 +19564 ns P1(a&&b):: C = 0 +19564 ns P2(a&&b):: C = 1 +19566 ns P1(a||b):: C = 1 +19566 ns P2(a||b):: C = 0 +19570 ns P1(a&&b):: C = 1 +19570 ns P2(a&&b):: C = 0 +19572 ns P1(a||b):: C = 1 +19572 ns P2(a||b):: C = 0 +19576 ns P1(a&&b):: C = 0 +19576 ns P2(a&&b):: C = 1 +19578 ns P1(a||b):: C = 1 +19578 ns P2(a||b):: C = 0 +19582 ns P1(a&&b):: C = 0 +19582 ns P2(a&&b):: C = 1 +19584 ns P1(a||b):: C = 1 +19584 ns P2(a||b):: C = 0 +19588 ns P1(a&&b):: C = 1 +19588 ns P2(a&&b):: C = 0 +19590 ns P1(a||b):: C = 1 +19590 ns P2(a||b):: C = 0 +19594 ns P1(a&&b):: C = 1 +19594 ns P2(a&&b):: C = 0 +19596 ns P1(a||b):: C = 0 +19596 ns P2(a||b):: C = 1 +19600 ns P1(a&&b):: C = 0 +19600 ns P2(a&&b):: C = 1 +19602 ns P1(a||b):: C = 1 +19602 ns P2(a||b):: C = 0 +19606 ns P1(a&&b):: C = 0 +19606 ns P2(a&&b):: C = 1 +19608 ns P1(a||b):: C = 1 +19608 ns P2(a||b):: C = 0 +19612 ns P1(a&&b):: C = 1 +19612 ns P2(a&&b):: C = 0 +19614 ns P1(a||b):: C = 1 +19614 ns P2(a||b):: C = 0 +19618 ns P1(a&&b):: C = 0 +19618 ns P2(a&&b):: C = 1 +19620 ns P1(a||b):: C = 1 +19620 ns P2(a||b):: C = 0 +19624 ns P1(a&&b):: C = 1 +19624 ns P2(a&&b):: C = 0 +19626 ns P1(a||b):: C = 1 +19626 ns P2(a||b):: C = 0 +19630 ns P1(a&&b):: C = 0 +19630 ns P2(a&&b):: C = 1 +19632 ns P1(a||b):: C = 1 +19632 ns P2(a||b):: C = 0 +19636 ns P1(a&&b):: C = 0 +19636 ns P2(a&&b):: C = 1 +19638 ns P1(a||b):: C = 0 +19638 ns P2(a||b):: C = 1 +19642 ns P1(a&&b):: C = 0 +19642 ns P2(a&&b):: C = 1 +19644 ns P1(a||b):: C = 1 +19644 ns P2(a||b):: C = 0 +19648 ns P1(a&&b):: C = 0 +19648 ns P2(a&&b):: C = 1 +19650 ns P1(a||b):: C = 1 +19650 ns P2(a||b):: C = 0 +19654 ns P1(a&&b):: C = 0 +19654 ns P2(a&&b):: C = 1 +19656 ns P1(a||b):: C = 0 +19656 ns P2(a||b):: C = 1 +19660 ns P1(a&&b):: C = 0 +19660 ns P2(a&&b):: C = 1 +19662 ns P1(a||b):: C = 0 +19662 ns P2(a||b):: C = 1 +19666 ns P1(a&&b):: C = 0 +19666 ns P2(a&&b):: C = 1 +19668 ns P1(a||b):: C = 1 +19668 ns P2(a||b):: C = 0 +19672 ns P1(a&&b):: C = 0 +19672 ns P2(a&&b):: C = 1 +19674 ns P1(a||b):: C = 1 +19674 ns P2(a||b):: C = 0 +19678 ns P1(a&&b):: C = 1 +19678 ns P2(a&&b):: C = 0 +19680 ns P1(a||b):: C = 1 +19680 ns P2(a||b):: C = 0 +19684 ns P1(a&&b):: C = 0 +19684 ns P2(a&&b):: C = 1 +19686 ns P1(a||b):: C = 0 +19686 ns P2(a||b):: C = 1 +19690 ns P1(a&&b):: C = 0 +19690 ns P2(a&&b):: C = 1 +19692 ns P1(a||b):: C = 1 +19692 ns P2(a||b):: C = 0 +19696 ns P1(a&&b):: C = 1 +19696 ns P2(a&&b):: C = 0 +19698 ns P1(a||b):: C = 0 +19698 ns P2(a||b):: C = 1 +19702 ns P1(a&&b):: C = 0 +19702 ns P2(a&&b):: C = 1 +19704 ns P1(a||b):: C = 1 +19704 ns P2(a||b):: C = 0 +19708 ns P1(a&&b):: C = 1 +19708 ns P2(a&&b):: C = 0 +19710 ns P1(a||b):: C = 1 +19710 ns P2(a||b):: C = 0 +19714 ns P1(a&&b):: C = 0 +19714 ns P2(a&&b):: C = 1 +19716 ns P1(a||b):: C = 1 +19716 ns P2(a||b):: C = 0 +19720 ns P1(a&&b):: C = 0 +19720 ns P2(a&&b):: C = 1 +19722 ns P1(a||b):: C = 1 +19722 ns P2(a||b):: C = 0 +19726 ns P1(a&&b):: C = 0 +19726 ns P2(a&&b):: C = 1 +19728 ns P1(a||b):: C = 1 +19728 ns P2(a||b):: C = 0 +19732 ns P1(a&&b):: C = 0 +19732 ns P2(a&&b):: C = 1 +19734 ns P1(a||b):: C = 0 +19734 ns P2(a||b):: C = 1 +19738 ns P1(a&&b):: C = 0 +19738 ns P2(a&&b):: C = 1 +19740 ns P1(a||b):: C = 1 +19740 ns P2(a||b):: C = 0 +19744 ns P1(a&&b):: C = 0 +19744 ns P2(a&&b):: C = 1 +19746 ns P1(a||b):: C = 1 +19746 ns P2(a||b):: C = 0 +19750 ns P1(a&&b):: C = 0 +19750 ns P2(a&&b):: C = 1 +19752 ns P1(a||b):: C = 1 +19752 ns P2(a||b):: C = 0 +19756 ns P1(a&&b):: C = 0 +19756 ns P2(a&&b):: C = 1 +19758 ns P1(a||b):: C = 1 +19758 ns P2(a||b):: C = 0 +19762 ns P1(a&&b):: C = 0 +19762 ns P2(a&&b):: C = 1 +19764 ns P1(a||b):: C = 1 +19764 ns P2(a||b):: C = 0 +19768 ns P1(a&&b):: C = 1 +19768 ns P2(a&&b):: C = 0 +19770 ns P1(a||b):: C = 0 +19770 ns P2(a||b):: C = 1 +19774 ns P1(a&&b):: C = 0 +19774 ns P2(a&&b):: C = 1 +19776 ns P1(a||b):: C = 0 +19776 ns P2(a||b):: C = 1 +19780 ns P1(a&&b):: C = 0 +19780 ns P2(a&&b):: C = 1 +19782 ns P1(a||b):: C = 1 +19782 ns P2(a||b):: C = 0 +19786 ns P1(a&&b):: C = 0 +19786 ns P2(a&&b):: C = 1 +19788 ns P1(a||b):: C = 1 +19788 ns P2(a||b):: C = 0 +19792 ns P1(a&&b):: C = 1 +19792 ns P2(a&&b):: C = 0 +19794 ns P1(a||b):: C = 1 +19794 ns P2(a||b):: C = 0 +19798 ns P1(a&&b):: C = 1 +19798 ns P2(a&&b):: C = 0 +19800 ns P1(a||b):: C = 1 +19800 ns P2(a||b):: C = 0 +19804 ns P1(a&&b):: C = 1 +19804 ns P2(a&&b):: C = 0 +19806 ns P1(a||b):: C = 0 +19806 ns P2(a||b):: C = 1 +19810 ns P1(a&&b):: C = 1 +19810 ns P2(a&&b):: C = 0 +19812 ns P1(a||b):: C = 1 +19812 ns P2(a||b):: C = 0 +19816 ns P1(a&&b):: C = 0 +19816 ns P2(a&&b):: C = 1 +19818 ns P1(a||b):: C = 1 +19818 ns P2(a||b):: C = 0 +19822 ns P1(a&&b):: C = 0 +19822 ns P2(a&&b):: C = 1 +19824 ns P1(a||b):: C = 1 +19824 ns P2(a||b):: C = 0 +19828 ns P1(a&&b):: C = 0 +19828 ns P2(a&&b):: C = 1 +19830 ns P1(a||b):: C = 1 +19830 ns P2(a||b):: C = 0 +19834 ns P1(a&&b):: C = 0 +19834 ns P2(a&&b):: C = 1 +19836 ns P1(a||b):: C = 0 +19836 ns P2(a||b):: C = 1 +19840 ns P1(a&&b):: C = 0 +19840 ns P2(a&&b):: C = 1 +19842 ns P1(a||b):: C = 1 +19842 ns P2(a||b):: C = 0 +19846 ns P1(a&&b):: C = 0 +19846 ns P2(a&&b):: C = 1 +19848 ns P1(a||b):: C = 0 +19848 ns P2(a||b):: C = 1 +19852 ns P1(a&&b):: C = 0 +19852 ns P2(a&&b):: C = 1 +19854 ns P1(a||b):: C = 1 +19854 ns P2(a||b):: C = 0 +19858 ns P1(a&&b):: C = 0 +19858 ns P2(a&&b):: C = 1 +19860 ns P1(a||b):: C = 1 +19860 ns P2(a||b):: C = 0 +19864 ns P1(a&&b):: C = 0 +19864 ns P2(a&&b):: C = 1 +19866 ns P1(a||b):: C = 1 +19866 ns P2(a||b):: C = 0 +19870 ns P1(a&&b):: C = 0 +19870 ns P2(a&&b):: C = 1 +19872 ns P1(a||b):: C = 1 +19872 ns P2(a||b):: C = 0 +19876 ns P1(a&&b):: C = 0 +19876 ns P2(a&&b):: C = 1 +19878 ns P1(a||b):: C = 1 +19878 ns P2(a||b):: C = 0 +19882 ns P1(a&&b):: C = 0 +19882 ns P2(a&&b):: C = 1 +19884 ns P1(a||b):: C = 0 +19884 ns P2(a||b):: C = 1 +19888 ns P1(a&&b):: C = 0 +19888 ns P2(a&&b):: C = 1 +19890 ns P1(a||b):: C = 1 +19890 ns P2(a||b):: C = 0 +19894 ns P1(a&&b):: C = 1 +19894 ns P2(a&&b):: C = 0 +19896 ns P1(a||b):: C = 1 +19896 ns P2(a||b):: C = 0 +19900 ns P1(a&&b):: C = 1 +19900 ns P2(a&&b):: C = 0 +19902 ns P1(a||b):: C = 0 +19902 ns P2(a||b):: C = 1 +19906 ns P1(a&&b):: C = 0 +19906 ns P2(a&&b):: C = 1 +19908 ns P1(a||b):: C = 1 +19908 ns P2(a||b):: C = 0 +19912 ns P1(a&&b):: C = 0 +19912 ns P2(a&&b):: C = 1 +19914 ns P1(a||b):: C = 0 +19914 ns P2(a||b):: C = 1 +19918 ns P1(a&&b):: C = 0 +19918 ns P2(a&&b):: C = 1 +19920 ns P1(a||b):: C = 1 +19920 ns P2(a||b):: C = 0 +19924 ns P1(a&&b):: C = 0 +19924 ns P2(a&&b):: C = 1 +19926 ns P1(a||b):: C = 1 +19926 ns P2(a||b):: C = 0 +19930 ns P1(a&&b):: C = 0 +19930 ns P2(a&&b):: C = 1 +19932 ns P1(a||b):: C = 1 +19932 ns P2(a||b):: C = 0 +19936 ns P1(a&&b):: C = 0 +19936 ns P2(a&&b):: C = 1 +19938 ns P1(a||b):: C = 0 +19938 ns P2(a||b):: C = 1 +19942 ns P1(a&&b):: C = 0 +19942 ns P2(a&&b):: C = 1 +19944 ns P1(a||b):: C = 0 +19944 ns P2(a||b):: C = 1 +19948 ns P1(a&&b):: C = 1 +19948 ns P2(a&&b):: C = 0 +19950 ns P1(a||b):: C = 1 +19950 ns P2(a||b):: C = 0 +19954 ns P1(a&&b):: C = 0 +19954 ns P2(a&&b):: C = 1 +19956 ns P1(a||b):: C = 1 +19956 ns P2(a||b):: C = 0 +19960 ns P1(a&&b):: C = 0 +19960 ns P2(a&&b):: C = 1 +19962 ns P1(a||b):: C = 1 +19962 ns P2(a||b):: C = 0 +19966 ns P1(a&&b):: C = 0 +19966 ns P2(a&&b):: C = 1 +19968 ns P1(a||b):: C = 1 +19968 ns P2(a||b):: C = 0 +19972 ns P1(a&&b):: C = 0 +19972 ns P2(a&&b):: C = 1 +19974 ns P1(a||b):: C = 0 +19974 ns P2(a||b):: C = 1 +19978 ns P1(a&&b):: C = 0 +19978 ns P2(a&&b):: C = 1 +19980 ns P1(a||b):: C = 1 +19980 ns P2(a||b):: C = 0 +19984 ns P1(a&&b):: C = 0 +19984 ns P2(a&&b):: C = 1 +19986 ns P1(a||b):: C = 1 +19986 ns P2(a||b):: C = 0 +19990 ns P1(a&&b):: C = 0 +19990 ns P2(a&&b):: C = 1 +19992 ns P1(a||b):: C = 1 +19992 ns P2(a||b):: C = 0 +19996 ns P1(a&&b):: C = 0 +19996 ns P2(a&&b):: C = 1 +19998 ns P1(a||b):: C = 0 +19998 ns P2(a||b):: C = 1 diff --git a/src/systemc/tests/systemc/misc/sim_tests/manual_clock/isaac.h b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/isaac.h new file mode 100644 index 000000000..9625be2ac --- /dev/null +++ b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/isaac.h @@ -0,0 +1,272 @@ +#ifndef __ISAAC_HPP +#define __ISAAC_HPP + + +/* + + C++ TEMPLATE VERSION OF Robert J. Jenkins Jr.'s + ISAAC Random Number Generator. + + Ported from vanilla C to to template C++ class + by Quinn Tyler Jackson on 16-23 July 1998. + + quinn@qtj.net + + The function for the expected period of this + random number generator, according to Jenkins is: + + f(a,b) = 2**((a+b*(3+2^^a)-1) + + (where a is ALPHA and b is bitwidth) + + So, for a bitwidth of 32 and an ALPHA of 8, + the expected period of ISAAC is: + + 2^^(8+32*(3+2^^8)-1) = 2^^8295 + + Jackson has been able to run implementations + with an ALPHA as high as 16, or + + 2^^2097263 + +*/ + + +typedef unsigned int UINT32; +const UINT32 GOLDEN_RATIO = UINT32(0x9e3779b9); + + +template <UINT32 ALPHA = (8)> +class QTIsaac +{ + public: + + typedef unsigned char byte; + + struct randctx + { + randctx(void) + { + randrsl = new UINT32[N]; + randmem = new UINT32[N]; + } + + ~randctx(void) + { + delete [] randrsl; + delete [] randmem; + } + + UINT32 randcnt; + UINT32* randrsl; + UINT32* randmem; + UINT32 randa; + UINT32 randb; + UINT32 randc; + }; + + QTIsaac(UINT32 a = 0, UINT32 b = 0, UINT32 c = 0); + virtual ~QTIsaac(void); + + UINT32 rand(void); + virtual void randinit(randctx* ctx, bool bUseSeed); + virtual void srand( + UINT32 a = 0, UINT32 b = 0, UINT32 c = 0, UINT32* s = NULL); + + enum {N = (1<<ALPHA)}; + + protected: + + virtual void isaac(randctx* ctx); + + UINT32 ind(UINT32* mm, UINT32 x); + void rngstep( + UINT32 mix, UINT32& a, UINT32& b, UINT32*& mm, UINT32*& m, + UINT32*& m2, UINT32*& r, UINT32& x, UINT32& y); + virtual void shuffle( + UINT32& a, UINT32& b, UINT32& c, UINT32& d, UINT32& e, UINT32& f, + UINT32& g, UINT32& h); + + private: + randctx m_rc; +}; + + +template<UINT32 ALPHA> +QTIsaac<ALPHA>::QTIsaac(UINT32 a, UINT32 b, UINT32 c) : m_rc() +{ + srand(a, b, c); +} + + +template<UINT32 ALPHA> +QTIsaac<ALPHA>::~QTIsaac(void) +{ + // DO NOTHING +} + + +template<UINT32 ALPHA> +void QTIsaac<ALPHA>::srand(UINT32 a, UINT32 b, UINT32 c, UINT32* s) +{ + for(int i = 0; i < N; i++) + { + m_rc.randrsl[i] = s != NULL ? s[i] : 0; + } + + m_rc.randa = a; + m_rc.randb = b; + m_rc.randc = c; + + randinit(&m_rc, true); +} + + +template<UINT32 ALPHA> +inline UINT32 QTIsaac<ALPHA>::rand(void) +{ + return 0x7fffffff & (!m_rc.randcnt-- ? + (isaac(&m_rc), m_rc.randcnt=(N-1), m_rc.randrsl[m_rc.randcnt]) : + m_rc.randrsl[m_rc.randcnt]); +} + + +template<UINT32 ALPHA> +inline void QTIsaac<ALPHA>::randinit(randctx* ctx, bool bUseSeed) +{ + UINT32 a,b,c,d,e,f,g,h; + int i; + + a = b = c = d = e = f = g = h = GOLDEN_RATIO; + + UINT32* m = (ctx->randmem); + UINT32* r = (ctx->randrsl); + + if(!bUseSeed) + { + ctx->randa = 0; + ctx->randb = 0; + ctx->randc = 0; + } + + // scramble it + for(i=0; i < 4; ++i) + { + shuffle(a,b,c,d,e,f,g,h); + } + + if(bUseSeed) + { + // initialize using the contents of r[] as the seed + + for(i=0; i < N; i+=8) + { + a+=r[i ]; b+=r[i+1]; c+=r[i+2]; d+=r[i+3]; + e+=r[i+4]; f+=r[i+5]; g+=r[i+6]; h+=r[i+7]; + + shuffle(a,b,c,d,e,f,g,h); + + m[i ]=a; m[i+1]=b; m[i+2]=c; m[i+3]=d; + m[i+4]=e; m[i+5]=f; m[i+6]=g; m[i+7]=h; + } + + //do a second pass to make all of the seed affect all of m + + for(i=0; i < N; i += 8) + { + a+=m[i ]; b+=m[i+1]; c+=m[i+2]; d+=m[i+3]; + e+=m[i+4]; f+=m[i+5]; g+=m[i+6]; h+=m[i+7]; + + shuffle(a,b,c,d,e,f,g,h); + + m[i ]=a; m[i+1]=b; m[i+2]=c; m[i+3]=d; + m[i+4]=e; m[i+5]=f; m[i+6]=g; m[i+7]=h; + } + } + else + { + // fill in mm[] with messy stuff + + shuffle(a,b,c,d,e,f,g,h); + + m[i ]=a; m[i+1]=b; m[i+2]=c; m[i+3]=d; + m[i+4]=e; m[i+5]=f; m[i+6]=g; m[i+7]=h; + + } + + isaac(ctx); // fill in the first set of results + ctx->randcnt = N; // prepare to use the first set of results +} + + +template<UINT32 ALPHA> +inline UINT32 QTIsaac<ALPHA>::ind(UINT32* mm, UINT32 x) +{ + return (*(UINT32*)((byte*)(mm) + ((x) & ((N-1)<<2)))); +} + + +template<UINT32 ALPHA> +inline void QTIsaac<ALPHA>::rngstep(UINT32 mix, UINT32& a, UINT32& b, UINT32*& mm, UINT32*& m, UINT32*& m2, UINT32*& r, UINT32& x, UINT32& y) +{ + x = *m; + a = (a^(mix)) + *(m2++); + *(m++) = y = ind(mm,x) + a + b; + *(r++) = b = ind(mm,y>>ALPHA) + x; +} + + +template<UINT32 ALPHA> +inline void QTIsaac<ALPHA>::shuffle(UINT32& a, UINT32& b, UINT32& c, UINT32& d, UINT32& e, UINT32& f, UINT32& g, UINT32& h) +{ + a^=b<<11; d+=a; b+=c; + b^=c>>2; e+=b; c+=d; + c^=d<<8; f+=c; d+=e; + d^=e>>16; g+=d; e+=f; + e^=f<<10; h+=e; f+=g; + f^=g>>4; a+=f; g+=h; + g^=h<<8; b+=g; h+=a; + h^=a>>9; c+=h; a+=b; +} + + +template<UINT32 ALPHA> +inline void QTIsaac<ALPHA>::isaac(randctx* ctx) +{ + UINT32 x,y; + + UINT32* mm = ctx->randmem; + UINT32* r = ctx->randrsl; + + UINT32 a = (ctx->randa); + UINT32 b = (ctx->randb + (++ctx->randc)); + + UINT32* m = mm; + UINT32* m2 = (m+(N/2)); + UINT32* mend = m2; + + for(; m<mend; ) + { + rngstep((a<<13), a, b, mm, m, m2, r, x, y); + rngstep((a>>6) , a, b, mm, m, m2, r, x, y); + rngstep((a<<2) , a, b, mm, m, m2, r, x, y); + rngstep((a>>16), a, b, mm, m, m2, r, x, y); + } + + m2 = mm; + + for(; m2<mend; ) + { + rngstep((a<<13), a, b, mm, m, m2, r, x, y); + rngstep((a>>6) , a, b, mm, m, m2, r, x, y); + rngstep((a<<2) , a, b, mm, m, m2, r, x, y); + rngstep((a>>16), a, b, mm, m, m2, r, x, y); + } + + ctx->randb = b; + ctx->randa = a; +} + + +#endif // __ISAAC_HPP + diff --git a/src/systemc/tests/systemc/misc/sim_tests/manual_clock/manual_clock.cpp b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/manual_clock.cpp new file mode 100644 index 000000000..35729344e --- /dev/null +++ b/src/systemc/tests/systemc/misc/sim_tests/manual_clock/manual_clock.cpp @@ -0,0 +1,174 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + manual_clock.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "systemc.h" +#include "isaac.h" + +QTIsaac<8> rng; // Platform independent random number generator. + +SC_MODULE( proc1 ) +{ + SC_HAS_PROCESS( proc1 ); + + sc_in_clk clk; + + sc_in<bool> a; + sc_in<bool> b; + sc_inout<bool> c; + + proc1( sc_module_name NAME, + sc_signal_in_if<bool>& CLK, + sc_signal<bool>& A, + sc_signal<bool>& B, + sc_signal<bool>& C ) + { + clk( CLK ); + a(A); b(B); c(C); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry() + { + while (true) { + wait(); + c = a.read() && b.read(); + wait(); + cout << sc_time_stamp() << " P1(a&&b):: C = " << c.read() + << endl; + c = a.read() || b.read(); + wait(); + cout << sc_time_stamp() << " P1(a||b):: C = " << c.read() + << endl; + c = a ^ b; + } + } +}; + +SC_MODULE( proc2 ) +{ + SC_HAS_PROCESS( proc2 ); + + sc_in_clk clk; + + sc_in<bool> a; + sc_in<bool> b; + sc_inout<bool> c; + + proc2( sc_module_name NAME, + sc_signal_in_if<bool>& CLK, + sc_signal<bool>& A, + sc_signal<bool>& B, + sc_signal<bool>& C ) + { + clk( CLK ); + a(A); b(B); c(C); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry() + { + while (true) { + wait(); + c = ! (a.read() && b.read()); + wait(); + cout << sc_time_stamp() << " P2(a&&b):: C = " << c.read() + << endl; + c = ! (a.read() || b.read()); + wait(); + cout << sc_time_stamp() << " P2(a||b):: C = " << c.read() + << endl; + c = ! (a ^ b); + } + } +}; + +// comparator +SC_MODULE( proc3 ) +{ + SC_HAS_PROCESS( proc3 ); + + sc_in<bool> a; + sc_in<bool> b; + sc_out<bool> c; + sc_out<bool> d; + + proc3( sc_module_name NAME, + sc_signal<bool>& A, + sc_signal<bool>& B, + sc_signal<bool>& C, + sc_signal<bool>& D ) + { + a(A); b(B); c(C); d(D); + SC_METHOD( entry ); + sensitive << a << b; + } + + void entry() + { + c = (a == b); + d = (a != b); + } +}; + +int +sc_main( int argc, char* argv[] ) +{ + sc_signal<bool> clk1("clk1"); + sc_signal<bool> clk2("clk2"); + + sc_signal<bool> a("a"), b("b"); + sc_signal<bool> p("p"), q("q"); + sc_signal<bool> zero("zero"), one("one"); + + proc1 p1( "p1", clk1, a, b, p ); + proc2 p2( "p2", clk2, a, b, q ); + proc3 p3( "p3", p, q, zero, one ); + + sc_start(0, SC_NS); + for (double t = 0; t < 0.00001; t += 1e-9) { + clk1 = 1; + clk2 = 1; + a = rng.rand() & 16; + b = rng.rand() & 32; + sc_start( 1, SC_NS ); + clk1 = 0; + clk2 = 0; + sc_start( 1, SC_NS ); + } + + return 0; +} |