summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/misc/sim_tests
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/misc/sim_tests')
-rw-r--r--src/systemc/tests/systemc/misc/sim_tests/cycle_dw8051_demo/DEPS1
-rw-r--r--src/systemc/tests/systemc/misc/sim_tests/simple_cpu/DEPS2
2 files changed, 3 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/sim_tests/cycle_dw8051_demo/DEPS b/src/systemc/tests/systemc/misc/sim_tests/cycle_dw8051_demo/DEPS
new file mode 100644
index 000000000..9764107e6
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/sim_tests/cycle_dw8051_demo/DEPS
@@ -0,0 +1 @@
+test.hex
diff --git a/src/systemc/tests/systemc/misc/sim_tests/simple_cpu/DEPS b/src/systemc/tests/systemc/misc/sim_tests/simple_cpu/DEPS
new file mode 100644
index 000000000..fab8f9a5a
--- /dev/null
+++ b/src/systemc/tests/systemc/misc/sim_tests/simple_cpu/DEPS
@@ -0,0 +1,2 @@
+datamem
+progmem