diff options
Diffstat (limited to 'src/systemc/tests/systemc/misc/stars/star110672')
4 files changed, 160 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/stars/star110672/COMPILE b/src/systemc/tests/systemc/misc/stars/star110672/COMPILE new file mode 100644 index 000000000..e69de29bb --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110672/COMPILE diff --git a/src/systemc/tests/systemc/misc/stars/star110672/def.h b/src/systemc/tests/systemc/misc/stars/star110672/def.h new file mode 100644 index 000000000..adddd4e50 --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110672/def.h @@ -0,0 +1,40 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + def.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#define RING_BUFFER_SIZE 16 +#define INDEX_TYPE sc_uint<5> +#define DATA_TYPE sc_int<8> diff --git a/src/systemc/tests/systemc/misc/stars/star110672/design.h b/src/systemc/tests/systemc/misc/stars/star110672/design.h new file mode 100644 index 000000000..7fc20e826 --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110672/design.h @@ -0,0 +1,62 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + design.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + + +SC_MODULE(design) { + // ports + sc_in_clk clock; + sc_in<bool > take_the_data; + sc_in<bool > data_request; + sc_out<bool > data_ready; + sc_out<bool > write_in_is_done; + sc_in <DATA_TYPE > input; + sc_out<DATA_TYPE > output; + sc_signal<DATA_TYPE > ring_buffer[RING_BUFFER_SIZE]; + sc_signal<INDEX_TYPE > read_pointer; + sc_signal<INDEX_TYPE > write_pointer; + // processes + void write_in_fifo(); + void read_out_fifo(); + SC_CTOR(design) { + SC_CTHREAD(write_in_fifo, clock.pos() ); + SC_CTHREAD(read_out_fifo, clock.pos() ); + // bad reset + write_pointer = (INDEX_TYPE)0 ; + read_pointer = (INDEX_TYPE)0 ; + } + +}; diff --git a/src/systemc/tests/systemc/misc/stars/star110672/star110672.cpp b/src/systemc/tests/systemc/misc/stars/star110672/star110672.cpp new file mode 100644 index 000000000..f894ed0bd --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110672/star110672.cpp @@ -0,0 +1,58 @@ +/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+/*
+Please compile the program below with SC5.0. The output of the program is:
+
+sc_bit(1).to_char() = `
+
+where the last char in the line is a caro in my shell. It should be
+
+sc_bit(1).to_char() = 1
+*/
+
+
+#include <systemc.h>
+
+int sc_main(int argc, char* arg[])
+{
+ sc_bit dummy; // to force the deprecation message to come out first
+ // instead of in the middle of the next message
+ cout << "sc_bit(1).to_char() = " << sc_bit(1).to_char() << endl;
+
+ return 0;
+}
|