summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6')
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/golden/test01.log16
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/test01.cpp106
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/golden/test02.log8
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/test02.cpp128
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/golden/test03.log8
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/test03.cpp113
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/golden/test04.log8
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/test04.cpp108
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/golden/test05.log11
-rw-r--r--src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/test05.cpp152
10 files changed, 658 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/golden/test01.log b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/golden/test01.log
new file mode 100644
index 000000000..2a87849ba
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/golden/test01.log
@@ -0,0 +1,16 @@
+SystemC Simulation
+T2: time = 10 ns
+T2: time = 20 ns
+suspend: time = 25 ns
+resume: time = 45 ns
+T2: time = 45 ns
+T2: time = 50 ns
+T2: time = 60 ns
+disable: time = 65 ns
+enable: time = 85 ns
+T2: time = 90 ns
+T2: time = 100 ns
+
+Info: /OSCI/SystemC: Simulation stopped by user.
+
+End Of Test
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/test01.cpp b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/test01.cpp
new file mode 100644
index 000000000..ee8d29416
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test01/test01.cpp
@@ -0,0 +1,106 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+// test01.cpp -- Quick Test Of Process Control On Threads For IEEE 1666-2011
+//
+// Original Author: John Aynsley, Doulos
+//
+// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+//
+// $Log: test01.cpp,v $
+// Revision 1.2 2011/03/07 19:32:18 acg
+// Andy Goodrich: addition to set sc_core::sc_allow_process_control_corners
+// to true so that this test avoids corner case error messages.
+//
+// Revision 1.1 2011/02/05 21:13:19 acg
+// Andy Goodrich: move of tests John Aynsley will replace.
+//
+// Revision 1.1 2011/01/14 14:23:16 acg
+// Andy Goodrich: new test.
+//
+
+#define SC_INCLUDE_DYNAMIC_PROCESSES
+#include <systemc>
+
+using namespace sc_core;
+using std::cout;
+using std::endl;
+
+SC_MODULE(Top)
+{
+ SC_CTOR(Top)
+ {
+ SC_THREAD(gen);
+ SC_THREAD(T1);
+ h1 = sc_get_current_process_handle();
+ SC_THREAD(T2);
+ h2 = sc_get_current_process_handle();
+ }
+
+ sc_event ev;
+
+ sc_process_handle h1, h2;
+
+ void gen()
+ {
+ for (;;)
+ {
+ wait(10, SC_NS);
+ ev.notify();
+ }
+ }
+
+ void T1()
+ {
+ wait(25, SC_NS);
+ cout << "suspend: time = " << sc_time_stamp() << endl;
+ h2.suspend();
+ wait(20, SC_NS);
+ cout << "resume: time = " << sc_time_stamp() << endl;
+ h2.resume();
+ wait(20, SC_NS);
+
+ cout << "disable: time = " << sc_time_stamp() << endl;
+ h2.disable();
+ wait(20, SC_NS);
+ cout << "enable: time = " << sc_time_stamp() << endl;
+ h2.enable();
+ wait(20, SC_NS);
+ sc_stop();
+ }
+
+ void T2()
+ {
+ for (;;)
+ {
+ wait(ev);
+ cout << "T2: time = " << sc_time_stamp() << endl;
+ }
+ }
+};
+
+int sc_main(int argc, char* argv[])
+{
+ Top top("top");
+ sc_core::sc_allow_process_control_corners = true;
+ sc_start();
+
+ cout << endl << "End Of Test" << endl;
+ return 0;
+}
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/golden/test02.log b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/golden/test02.log
new file mode 100644
index 000000000..973018653
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/golden/test02.log
@@ -0,0 +1,8 @@
+SystemC Simulation
+Target called at 10 ns
+Target called at 20 ns
+Target called at 35 ns
+Target called at 40 ns
+Target called at 50 ns
+
+Info: /OSCI/SystemC: Simulation stopped by user.
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/test02.cpp b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/test02.cpp
new file mode 100644
index 000000000..ca18bdeb8
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test02/test02.cpp
@@ -0,0 +1,128 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+// test02.cpp -- Test Method Suspending Itself
+//
+// Original Author: John Aynsley, Doulos
+//
+// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+//
+// $Log: test02.cpp,v $
+// Revision 1.3 2011/03/07 19:32:19 acg
+// Andy Goodrich: addition to set sc_core::sc_allow_process_control_corners
+// to true so that this test avoids corner case error messages.
+//
+// Revision 1.2 2011/02/20 13:44:06 acg
+// Andy Goodrich: updates for IEEE 1666 2011.
+//
+// Revision 1.1 2011/02/05 21:13:26 acg
+// Andy Goodrich: move of tests John Aynsley will replace.
+//
+// Revision 1.1 2011/01/20 16:54:54 acg
+// Andy Goodrich: changes for IEEE 1666 2011.
+//
+
+#define SC_INCLUDE_DYNAMIC_PROCESSES
+
+#include <systemc>
+
+using namespace sc_core;
+using std::cout;
+using std::endl;
+
+struct M5: sc_module
+{
+ M5(sc_module_name _name)
+ {
+ SC_THREAD(ticker);
+ SC_THREAD(calling);
+ SC_METHOD(target);
+ sensitive << ev;
+ dont_initialize();
+ t = sc_get_current_process_handle();
+ suspend_target = false;
+ resume_target = false;
+ }
+
+ sc_process_handle t;
+ sc_event ev;
+ bool suspend_target;
+ bool resume_target;
+
+ void ticker()
+ {
+ for (;;)
+ {
+ wait(10, SC_NS);
+ ev.notify();
+ }
+ }
+
+ void calling()
+ {
+ wait(15, SC_NS);
+ // Target runs at 10 NS
+
+ suspend_target = true;
+ wait(10, SC_NS);
+ // Target runs at 20 NS and suspends itself
+
+ wait(10, SC_NS);
+ // Target does not run at 30 NS
+
+ suspend_target = false;
+ t.resume();
+ // Target runs at 35 NS
+
+ wait(10, SC_NS);
+ // Target runs at 40 NS
+
+ suspend_target = true;
+ resume_target = true;
+ wait(10, SC_NS);
+ // Target runs at 50 NS
+
+ sc_stop();
+ }
+
+ void target()
+ {
+ cout << "Target called at " << sc_time_stamp() << endl;
+ if (suspend_target)
+ t.suspend();
+ if (resume_target)
+ {
+ t.resume();
+ suspend_target = false;
+ }
+ }
+
+ SC_HAS_PROCESS(M5);
+};
+
+int sc_main(int argc, char* argv[])
+{
+ M5 m("m");
+
+ sc_core::sc_allow_process_control_corners = true;
+ sc_start();
+
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/golden/test03.log b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/golden/test03.log
new file mode 100644
index 000000000..5cc0f85cb
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/golden/test03.log
@@ -0,0 +1,8 @@
+SystemC Simulation
+Target called/reset at 0 s
+Target awoke at 10 ns
+Target awoke at 20 ns
+Target called/reset at 25 ns
+Target awoke at 30 ns
+
+Info: /OSCI/SystemC: Simulation stopped by user.
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/test03.cpp b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/test03.cpp
new file mode 100644
index 000000000..61e4e948c
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test03/test03.cpp
@@ -0,0 +1,113 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+// test03.cpp -- Quick Test Of kill() And reset() sc_process_handle Methods.
+//
+// Original Author: John Aynsley, Doulos
+//
+// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+//
+// $Log: test03.cpp,v $
+// Revision 1.1 2011/02/05 21:13:26 acg
+// Andy Goodrich: move of tests John Aynsley will replace.
+//
+// Revision 1.1 2011/01/20 16:55:01 acg
+// Andy Goodrich: changes for IEEE 1666 2011.
+//
+
+#define SC_INCLUDE_DYNAMIC_PROCESSES
+
+#include <systemc>
+
+using namespace sc_core;
+using std::cout;
+using std::endl;
+
+struct M3: sc_module
+{
+ M3(sc_module_name _name)
+ {
+ SC_THREAD(ticker);
+ SC_THREAD(calling);
+ SC_THREAD(target);
+ t = sc_get_current_process_handle();
+ }
+
+ sc_process_handle t;
+ sc_event ev;
+ int count;
+
+ void ticker()
+ {
+ for (;;)
+ {
+ wait(10, SC_NS);
+ ev.notify();
+ }
+ }
+
+ void calling()
+ {
+ wait(15, SC_NS);
+ // Target runs at time 10 NS due to notification
+ sc_assert( count == 1 );
+
+ wait(10, SC_NS);
+ // Target runs again at time 20 NS due to notification
+ sc_assert( count == 2 );
+
+ t.reset();
+ // Target reset immediately at time 25 NS
+ sc_assert( count == 0 );
+
+ wait(10, SC_NS);
+ // Target runs again at time 30 NS due to notification
+ sc_assert( count == 1 );
+
+ t.kill();
+ // Target killed immediately at time 35 NS
+ sc_assert( t.terminated() );
+
+ sc_stop();
+ }
+
+ void target()
+ {
+ cout << "Target called/reset at " << sc_time_stamp() << endl;
+ count = 0;
+ for (;;)
+ {
+ wait(ev);
+ cout << "Target awoke at " << sc_time_stamp() << endl;
+ ++count;
+ }
+ }
+
+ SC_HAS_PROCESS(M3);
+};
+
+int sc_main(int argc, char* argv[])
+{
+ M3 m("m");
+
+ sc_start();
+
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/golden/test04.log b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/golden/test04.log
new file mode 100644
index 000000000..cfeb74f2d
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/golden/test04.log
@@ -0,0 +1,8 @@
+SystemC Simulation
+Target called/reset at 0 s
+Target awoke at 10 ns
+Target called/reset at 20 ns
+Target called/reset at 30 ns
+Target awoke at 40 ns
+
+Info: /OSCI/SystemC: Simulation stopped by user.
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/test04.cpp b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/test04.cpp
new file mode 100644
index 000000000..d172bcfeb
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test04/test04.cpp
@@ -0,0 +1,108 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+// test04.cpp -- Quick Test Of Synchronous Reset sc_process_handle Support
+//
+// Original Author: John Aynsley, Doulos
+//
+// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+//
+// $Log: test04.cpp,v $
+// Revision 1.1 2011/02/05 21:13:26 acg
+// Andy Goodrich: move of tests John Aynsley will replace.
+//
+// Revision 1.1 2011/01/20 16:55:07 acg
+// Andy Goodrich: changes for IEEE 1666 2011.
+//
+
+#define SC_INCLUDE_DYNAMIC_PROCESSES
+
+#include <systemc>
+
+using namespace sc_core;
+using std::cout;
+using std::endl;
+
+struct M: sc_module
+{
+ M(sc_module_name _name)
+ {
+ SC_THREAD(ticker);
+ SC_THREAD(calling);
+ SC_THREAD(target);
+ t = sc_get_current_process_handle();
+ }
+
+ sc_process_handle t;
+ sc_event ev;
+
+ void ticker()
+ {
+ for (;;)
+ {
+ wait(10, SC_NS);
+ ev.notify();
+ }
+ }
+
+ void calling()
+ {
+ wait(15, SC_NS);
+ // Target runs at time 10 NS due to notification
+
+ t.sync_reset_on();
+ // Target does not run at time 15 NS
+
+ wait(10, SC_NS);
+ // Target is reset at time 20 NS due to notification
+
+ wait(10, SC_NS);
+ // Target is reset again at time 30 NS due to notification
+
+ t.sync_reset_off();
+ // Target does not run at time 35 NS
+
+ wait(10, SC_NS);
+ // Target runs at time 40 NS due to notification
+
+ sc_stop();
+ }
+
+ void target()
+ {
+ cout << "Target called/reset at " << sc_time_stamp() << endl;
+ for (;;)
+ {
+ wait(ev);
+ cout << "Target awoke at " << sc_time_stamp() << endl;
+ }
+ }
+
+ SC_HAS_PROCESS(M);
+};
+
+int sc_main(int argc, char* argv[])
+{
+ M m("m");
+
+ sc_start();
+
+ return 0;
+}
+
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/golden/test05.log b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/golden/test05.log
new file mode 100644
index 000000000..81a9fd590
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/golden/test05.log
@@ -0,0 +1,11 @@
+SystemC Simulation
+Target called/reset at 0 s
+Target awoke at 10 ns
+Target awoke at 20 ns
+sc_unwind_exception caught by target
+Target called/reset at 25 ns
+Target awoke at 30 ns
+sc_unwind_exception caught by target
+sc_unwind_exception caught by ticker
+
+Info: /OSCI/SystemC: Simulation stopped by user.
diff --git a/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/test05.cpp b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/test05.cpp
new file mode 100644
index 000000000..31cc771b4
--- /dev/null
+++ b/src/systemc/tests/systemc/tmp/compliance_1666_2011/section_6.6/test05/test05.cpp
@@ -0,0 +1,152 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+// test05.cpp -- Reset and kill a thread process, including nested kills
+//
+// Original Author: John Aynsley, Doulos
+//
+// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+//
+// $Log: test05.cpp,v $
+// Revision 1.1 2011/02/05 21:13:26 acg
+// Andy Goodrich: move of tests John Aynsley will replace.
+//
+// Revision 1.2 2011/01/25 20:54:03 acg
+// Andy Goodrich: regolden for new delta counter rules.
+//
+// Revision 1.1 2011/01/24 12:06:10 acg
+// Andy Goodrich: changes for IEEE 1666 2011
+//
+
+
+#include <systemc>
+
+using namespace sc_core;
+using std::cout;
+using std::endl;
+
+struct M3: sc_module
+{
+ M3(sc_module_name _name)
+ {
+ SC_THREAD(ticker);
+ k = sc_get_current_process_handle();
+
+ SC_THREAD(calling);
+
+ SC_THREAD(target);
+ t = sc_get_current_process_handle();
+
+ killing_over = false;
+ }
+
+ sc_process_handle t, k;
+ sc_event ev;
+ int count;
+ bool killing_over;
+
+ void ticker()
+ {
+ for (;;)
+ {
+ try {
+ wait(10, SC_NS);
+ ev.notify();
+ }
+ catch (const sc_unwind_exception& ex) {
+ // ticker process killed by target
+ cout << "sc_unwind_exception caught by ticker" << endl;
+ sc_assert( sc_is_unwinding() );
+ sc_assert( !ex.is_reset() );
+ sc_assert( count == 1 );
+ sc_assert( !killing_over );
+ throw ex;
+ }
+ }
+ }
+
+ void calling()
+ {
+ wait(15, SC_NS);
+ // Target runs at time 10 NS due to notification
+ sc_assert( count == 1 );
+
+ wait(10, SC_NS);
+ // Target runs again at time 20 NS due to notification
+ sc_assert( count == 2 );
+
+ t.reset();
+ // Target reset immediately at time 25 NS
+ sc_assert( count == 0 );
+
+ wait(10, SC_NS);
+ // Target runs again at time 30 NS due to notification
+ sc_assert( count == 1 );
+
+ t.kill();
+ sc_assert( !killing_over );
+ killing_over = true;
+
+ // Target killed immediately at time 35 NS
+ sc_assert( t.terminated() );
+ sc_assert( k.terminated() );
+
+ sc_stop();
+ }
+
+ void target()
+ {
+ cout << "Target called/reset at " << sc_time_stamp() << endl;
+ count = 0;
+ for (;;)
+ {
+ try {
+ wait(ev);
+ cout << "Target awoke at " << sc_time_stamp() << endl;
+ ++count;
+ }
+ catch (const sc_unwind_exception& ex) {
+ cout << "sc_unwind_exception caught by target" << endl;
+ if (count == 2)
+ sc_assert( ex.is_reset() );
+ else if (count == 1)
+ {
+ sc_assert( !ex.is_reset() );
+ sc_assert( !killing_over );
+ k.kill();
+ }
+ else
+ sc_assert( false );
+ throw ex;
+ }
+ }
+ }
+
+ SC_HAS_PROCESS(M3);
+};
+
+int sc_main(int argc, char* argv[])
+{
+ M3 m("m");
+
+ sc_start();
+
+ return 0;
+}
+