summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp')
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp97
1 files changed, 97 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp b/src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp
new file mode 100644
index 000000000..a24951485
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test06/test06.cpp
@@ -0,0 +1,97 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test06.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+
+SC_MODULE( proc1 )
+{
+ SC_HAS_PROCESS( proc1 );
+
+ sc_in<bool> clk;
+
+ float obj1;
+ double obj2;
+
+ proc1( sc_module_name NAME,
+ sc_signal<bool>& CLK )
+ {
+ clk(CLK);
+ SC_THREAD( entry );
+ sensitive << clk;
+ obj1 = 0.0;
+ obj2 = 0.0;
+ }
+
+ void entry();
+};
+
+void proc1::entry()
+{
+ wait();
+ while(true) {
+ obj1 = 12.345;
+ obj2 = -13.5678923;
+ wait();
+ obj1 = -182634876.5659374;
+ obj2 = 1672357.298346;
+ wait();
+ }
+}
+
+
+int sc_main(int ac, char *av[])
+{
+ sc_trace_file *tf;
+ sc_signal<bool> clock;
+
+ proc1 P1("P1", clock);
+
+ tf = sc_create_vcd_trace_file("test06");
+ sc_trace(tf, P1.obj1, "Float");
+ sc_trace(tf, P1.obj2, "Double");
+
+ clock.write(0);
+ sc_start(0, SC_NS);
+ for (int i = 0; i< 10; i++) {
+ clock.write(1);
+ sc_start(10, SC_NS);
+ clock.write(0);
+ sc_start(10, SC_NS);
+ }
+ sc_close_vcd_trace_file( tf );
+ return 0;
+}