summaryrefslogtreecommitdiff
path: root/tests/long/se/40.perlbmk/ref/arm/linux
diff options
context:
space:
mode:
Diffstat (limited to 'tests/long/se/40.perlbmk/ref/arm/linux')
-rw-r--r--tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini2
-rwxr-xr-xtests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout6
-rw-r--r--tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt12
3 files changed, 10 insertions, 10 deletions
diff --git a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini
index b1c9ef7ec..2ff40d14a 100644
--- a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini
+++ b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini
@@ -594,7 +594,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout
index d9571e5e1..0920df90d 100755
--- a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout
+++ b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/se/40.perlbmk/arm/linux/o3-timing
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:22
-gem5 executing on e108600-lin, pid 23079
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:20:09
+gem5 executing on e108600-lin, pid 12407
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/se/40.perlbmk/arm/linux/o3-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/se/40.perlbmk/arm/linux/o3-timing
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt
index f24017e10..c91bb3ccb 100644
--- a/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt
+++ b/tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.326731 # Nu
sim_ticks 326731324000 # Number of ticks simulated
final_tick 326731324000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 137546 # Simulator instruction rate (inst/s)
-host_op_rate 169337 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 70148373 # Simulator tick rate (ticks/s)
-host_mem_usage 272916 # Number of bytes of host memory used
-host_seconds 4657.72 # Real time elapsed on the host
+host_inst_rate 165193 # Simulator instruction rate (inst/s)
+host_op_rate 203374 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 84248396 # Simulator tick rate (ticks/s)
+host_mem_usage 272920 # Number of bytes of host memory used
+host_seconds 3878.19 # Real time elapsed on the host
sim_insts 640649299 # Number of instructions simulated
sim_ops 788724958 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -721,7 +721,7 @@ system.cpu.fp_regfile_reads 30616061 # nu
system.cpu.fp_regfile_writes 22959483 # number of floating regfile writes
system.cpu.cc_regfile_reads 3322370942 # number of cc regfile reads
system.cpu.cc_regfile_writes 369203387 # number of cc regfile writes
-system.cpu.misc_regfile_reads 606830949 # number of misc regfile reads
+system.cpu.misc_regfile_reads 606830951 # number of misc regfile reads
system.cpu.misc_regfile_writes 6386808 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 326731324000 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 2756452 # number of replacements