summaryrefslogtreecommitdiff
path: root/tests/long/se/70.twolf
diff options
context:
space:
mode:
Diffstat (limited to 'tests/long/se/70.twolf')
-rw-r--r--tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini2
-rwxr-xr-xtests/long/se/70.twolf/ref/arm/linux/o3-timing/simout8
-rw-r--r--tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt12
3 files changed, 10 insertions, 12 deletions
diff --git a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini
index 174895907..86715fd27 100644
--- a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini
+++ b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini
@@ -594,7 +594,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/simout b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/simout
index 998b0d088..6247d8422 100755
--- a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/simout
+++ b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/simout
@@ -3,13 +3,11 @@ Redirecting stderr to build/ARM/tests/opt/long/se/70.twolf/arm/linux/o3-timing/s
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:50:24
-gem5 executing on e108600-lin, pid 23312
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:31:02
+gem5 executing on e108600-lin, pid 12562
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/se/70.twolf/arm/linux/o3-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/se/70.twolf/arm/linux/o3-timing
-Couldn't unlink build/ARM/tests/opt/long/se/70.twolf/arm/linux/o3-timing/smred.sav
-Couldn't unlink build/ARM/tests/opt/long/se/70.twolf/arm/linux/o3-timing/smred.sv2
Global frequency set at 1000000000000 ticks per second
info: Entering event queue @ 0. Starting simulation...
diff --git a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt
index a5c8823ea..46c589cfc 100644
--- a/tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt
+++ b/tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.084938 # Nu
sim_ticks 84937723500 # Number of ticks simulated
final_tick 84937723500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 112842 # Simulator instruction rate (inst/s)
-host_op_rate 118955 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 55626314 # Simulator tick rate (ticks/s)
-host_mem_usage 268228 # Number of bytes of host memory used
-host_seconds 1526.93 # Real time elapsed on the host
+host_inst_rate 178410 # Simulator instruction rate (inst/s)
+host_op_rate 188074 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 87948168 # Simulator tick rate (ticks/s)
+host_mem_usage 268236 # Number of bytes of host memory used
+host_seconds 965.77 # Real time elapsed on the host
sim_insts 172303022 # Number of instructions simulated
sim_ops 181635954 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -681,7 +681,7 @@ system.cpu.fp_regfile_reads 2904222 # nu
system.cpu.fp_regfile_writes 2441435 # number of floating regfile writes
system.cpu.cc_regfile_reads 708194084 # number of cc regfile reads
system.cpu.cc_regfile_writes 229512691 # number of cc regfile writes
-system.cpu.misc_regfile_reads 57440840 # number of misc regfile reads
+system.cpu.misc_regfile_reads 57440842 # number of misc regfile reads
system.cpu.misc_regfile_writes 820036 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 84937723500 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 72581 # number of replacements