summaryrefslogtreecommitdiff
path: root/tests/quick/se/00.hello/ref/x86/linux
diff options
context:
space:
mode:
Diffstat (limited to 'tests/quick/se/00.hello/ref/x86/linux')
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini41
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/o3-timing/simout8
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt1274
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini291
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout12
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt442
7 files changed, 1174 insertions, 897 deletions
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
index 8fda1a50c..774234af5 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
@@ -179,7 +179,7 @@ useIndirect=true
[system.cpu.dcache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=2
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -552,7 +552,7 @@ pipelined=false
[system.cpu.icache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=2
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -639,7 +639,7 @@ port=system.cpu.toL2Bus.slave[2]
[system.cpu.l2cache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=8
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -756,6 +756,7 @@ transition_latency=100000000
[system.membus]
type=CoherentXBar
+children=snoop_filter
clk_domain=system.clk_domain
default_p_state=UNDEFINED
eventq_index=0
@@ -767,7 +768,7 @@ p_state_clk_gate_min=1000
point_of_coherency=true
power_model=Null
response_latency=2
-snoop_filter=Null
+snoop_filter=system.membus.snoop_filter
snoop_response_latency=4
system=system
use_default_range=false
@@ -775,29 +776,36 @@ width=16
master=system.physmem.port system.cpu.interrupts.pio system.cpu.interrupts.int_slave
slave=system.system_port system.cpu.l2cache.mem_side system.cpu.interrupts.int_master
+[system.membus.snoop_filter]
+type=SnoopFilter
+eventq_index=0
+lookup_latency=1
+max_capacity=8388608
+system=system
+
[system.physmem]
type=DRAMCtrl
-IDD0=0.075000
+IDD0=0.055000
IDD02=0.000000
-IDD2N=0.050000
+IDD2N=0.032000
IDD2N2=0.000000
IDD2P0=0.000000
IDD2P02=0.000000
-IDD2P1=0.000000
+IDD2P1=0.032000
IDD2P12=0.000000
-IDD3N=0.057000
+IDD3N=0.038000
IDD3N2=0.000000
IDD3P0=0.000000
IDD3P02=0.000000
-IDD3P1=0.000000
+IDD3P1=0.038000
IDD3P12=0.000000
-IDD4R=0.187000
+IDD4R=0.157000
IDD4R2=0.000000
-IDD4W=0.165000
+IDD4W=0.125000
IDD4W2=0.000000
-IDD5=0.220000
+IDD5=0.235000
IDD52=0.000000
-IDD6=0.000000
+IDD6=0.020000
IDD62=0.000000
VDD=1.500000
VDD2=0.000000
@@ -817,6 +825,7 @@ devices_per_rank=8
dll=true
eventq_index=0
in_addr_map=true
+kvm_map=true
max_accesses_per_row=16
mem_sched_policy=frfcfs
min_writes_per_switch=16
@@ -826,7 +835,7 @@ p_state_clk_gate_max=1000000000000
p_state_clk_gate_min=1000
page_policy=open_adaptive
power_model=Null
-range=0:134217727
+range=0:134217727:0:0:0:0
ranks_per_channel=2
read_buffer_size=32
static_backend_latency=10000
@@ -848,9 +857,9 @@ tRTW=2500
tWR=15000
tWTR=7500
tXAW=30000
-tXP=0
+tXP=6000
tXPDLL=0
-tXS=0
+tXS=270000
tXSDLL=0
write_buffer_size=64
write_high_thresh_perc=85
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
index 8cf3e8140..ce4c9483b 100755
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
@@ -3,12 +3,12 @@ Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/o3-timing/
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:35:23
-gem5 started Jul 21 2016 14:36:18
-gem5 executing on e108600-lin, pid 18560
+gem5 compiled Oct 11 2016 00:00:58
+gem5 started Oct 13 2016 21:09:20
+gem5 executing on e108600-lin, pid 17644
command line: /work/curdun01/gem5-external.hg/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/o3-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/x86/linux/o3-timing
Global frequency set at 1000000000000 ticks per second
info: Entering event queue @ 0. Starting simulation...
Hello world!
-Exiting @ tick 21273500 because target called exit()
+Exiting @ tick 22466500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
index 401e565b1..d0952668c 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
@@ -1,62 +1,62 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.000021 # Number of seconds simulated
-sim_ticks 21382500 # Number of ticks simulated
-final_tick 21382500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.000022 # Number of seconds simulated
+sim_ticks 22466500 # Number of ticks simulated
+final_tick 22466500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 21602 # Simulator instruction rate (inst/s)
-host_op_rate 39134 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 85845466 # Simulator tick rate (ticks/s)
-host_mem_usage 271116 # Number of bytes of host memory used
-host_seconds 0.25 # Real time elapsed on the host
+host_inst_rate 32079 # Simulator instruction rate (inst/s)
+host_op_rate 58113 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 133941475 # Simulator tick rate (ticks/s)
+host_mem_usage 269032 # Number of bytes of host memory used
+host_seconds 0.17 # Real time elapsed on the host
sim_insts 5380 # Number of instructions simulated
sim_ops 9747 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
-system.physmem.bytes_read::cpu.inst 17792 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 8896 # Number of bytes read from this memory
-system.physmem.bytes_read::total 26688 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 17792 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 17792 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 278 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 139 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 417 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 832082310 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 416041155 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1248123465 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 832082310 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 832082310 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 832082310 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 416041155 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1248123465 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 417 # Number of read requests accepted
+system.physmem.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
+system.physmem.bytes_read::cpu.inst 17728 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 9024 # Number of bytes read from this memory
+system.physmem.bytes_read::total 26752 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu.inst 17728 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 17728 # Number of instructions bytes read from this memory
+system.physmem.num_reads::cpu.inst 277 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 141 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 418 # Number of read requests responded to by this memory
+system.physmem.bw_read::cpu.inst 789085972 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 401664701 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1190750673 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 789085972 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 789085972 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 789085972 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 401664701 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 1190750673 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 418 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
-system.physmem.readBursts 417 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.readBursts 418 # Number of DRAM read bursts, including those serviced by the write queue
system.physmem.writeBursts 0 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 26688 # Total number of bytes read from DRAM
+system.physmem.bytesReadDRAM 26752 # Total number of bytes read from DRAM
system.physmem.bytesReadWrQ 0 # Total number of bytes read from write queue
system.physmem.bytesWritten 0 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 26688 # Total read bytes from the system interface side
+system.physmem.bytesReadSys 26752 # Total read bytes from the system interface side
system.physmem.bytesWrittenSys 0 # Total written bytes from the system interface side
system.physmem.servicedByWrQ 0 # Number of DRAM read bursts serviced by the write queue
system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
system.physmem.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 31 # Per bank write bursts
+system.physmem.perBankRdBursts::0 32 # Per bank write bursts
system.physmem.perBankRdBursts::1 1 # Per bank write bursts
system.physmem.perBankRdBursts::2 5 # Per bank write bursts
system.physmem.perBankRdBursts::3 8 # Per bank write bursts
-system.physmem.perBankRdBursts::4 51 # Per bank write bursts
+system.physmem.perBankRdBursts::4 50 # Per bank write bursts
system.physmem.perBankRdBursts::5 44 # Per bank write bursts
system.physmem.perBankRdBursts::6 21 # Per bank write bursts
system.physmem.perBankRdBursts::7 37 # Per bank write bursts
-system.physmem.perBankRdBursts::8 23 # Per bank write bursts
+system.physmem.perBankRdBursts::8 24 # Per bank write bursts
system.physmem.perBankRdBursts::9 71 # Per bank write bursts
system.physmem.perBankRdBursts::10 64 # Per bank write bursts
system.physmem.perBankRdBursts::11 16 # Per bank write bursts
system.physmem.perBankRdBursts::12 2 # Per bank write bursts
-system.physmem.perBankRdBursts::13 19 # Per bank write bursts
-system.physmem.perBankRdBursts::14 7 # Per bank write bursts
+system.physmem.perBankRdBursts::13 20 # Per bank write bursts
+system.physmem.perBankRdBursts::14 6 # Per bank write bursts
system.physmem.perBankRdBursts::15 17 # Per bank write bursts
system.physmem.perBankWrBursts::0 0 # Per bank write bursts
system.physmem.perBankWrBursts::1 0 # Per bank write bursts
@@ -76,14 +76,14 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 21259500 # Total gap between requests
+system.physmem.totGap 22337000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
system.physmem.readPktSize::3 0 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 417 # Read request sizes (log2)
+system.physmem.readPktSize::6 418 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 0 # Write request sizes (log2)
@@ -91,11 +91,11 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 246 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 125 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 40 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 6 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 0 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 242 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 129 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 38 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 8 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
@@ -187,318 +187,328 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 97 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 248.742268 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 161.877699 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 262.561948 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 36 37.11% 37.11% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 27 27.84% 64.95% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 16 16.49% 81.44% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 4 4.12% 85.57% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 2 2.06% 87.63% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 4 4.12% 91.75% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 2 2.06% 93.81% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 1 1.03% 94.85% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 5 5.15% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 97 # Bytes accessed per row activation
-system.physmem.totQLat 5040250 # Total ticks spent queuing
-system.physmem.totMemAccLat 12859000 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 2085000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 12086.93 # Average queueing delay per DRAM burst
+system.physmem.bytesPerActivate::samples 95 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 246.568421 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 159.892164 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 259.040400 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 37 38.95% 38.95% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 23 24.21% 63.16% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 16 16.84% 80.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 6 6.32% 86.32% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 2 2.11% 88.42% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 3 3.16% 91.58% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 2 2.11% 93.68% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 2 2.11% 95.79% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 4 4.21% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 95 # Bytes accessed per row activation
+system.physmem.totQLat 6803250 # Total ticks spent queuing
+system.physmem.totMemAccLat 14640750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 2090000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 16275.72 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 30836.93 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1248.12 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 35025.72 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 1190.75 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1248.12 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1190.75 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 9.75 # Data bus utilization in percentage
-system.physmem.busUtilRead 9.75 # Data bus utilization in percentage for reads
+system.physmem.busUtil 9.30 # Data bus utilization in percentage
+system.physmem.busUtilRead 9.30 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.67 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 1.69 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 309 # Number of row buffer hits during reads
+system.physmem.readRowHits 310 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 74.10 # Row buffer hit rate for reads
+system.physmem.readRowHitRate 74.16 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 50982.01 # Average gap between requests
-system.physmem.pageHitRate 74.10 # Row buffer hit rate, read and write combined
-system.physmem_0.actEnergy 173880 # Energy for activate commands per rank (pJ)
-system.physmem_0.preEnergy 94875 # Energy for precharge commands per rank (pJ)
-system.physmem_0.readEnergy 912600 # Energy for read commands per rank (pJ)
+system.physmem.avgGap 53437.80 # Average gap between requests
+system.physmem.pageHitRate 74.16 # Row buffer hit rate, read and write combined
+system.physmem_0.actEnergy 285600 # Energy for activate commands per rank (pJ)
+system.physmem_0.preEnergy 125235 # Energy for precharge commands per rank (pJ)
+system.physmem_0.readEnergy 1413720 # Energy for read commands per rank (pJ)
system.physmem_0.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_0.refreshEnergy 1017120 # Energy for refresh commands per rank (pJ)
-system.physmem_0.actBackEnergy 10792665 # Energy for active background per rank (pJ)
-system.physmem_0.preBackEnergy 32250 # Energy for precharge background per rank (pJ)
-system.physmem_0.totalEnergy 13023390 # Total energy per rank (pJ)
-system.physmem_0.averagePower 822.573188 # Core power per rank (mW)
-system.physmem_0.memoryStateTime::IDLE 13750 # Time in different power states
+system.physmem_0.refreshEnergy 1229280.000000 # Energy for refresh commands per rank (pJ)
+system.physmem_0.actBackEnergy 2399130 # Energy for active background per rank (pJ)
+system.physmem_0.preBackEnergy 30240 # Energy for precharge background per rank (pJ)
+system.physmem_0.actPowerDownEnergy 7645980 # Energy for active power-down per rank (pJ)
+system.physmem_0.prePowerDownEnergy 138240 # Energy for precharge power-down per rank (pJ)
+system.physmem_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.physmem_0.totalEnergy 13267425 # Total energy per rank (pJ)
+system.physmem_0.averagePower 590.516301 # Core power per rank (mW)
+system.physmem_0.totalIdleTime 17035500 # Total Idle time Per DRAM Rank
+system.physmem_0.memoryStateTime::IDLE 23500 # Time in different power states
system.physmem_0.memoryStateTime::REF 520000 # Time in different power states
-system.physmem_0.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem_0.memoryStateTime::ACT 15314750 # Time in different power states
-system.physmem_0.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.physmem_1.actEnergy 415800 # Energy for activate commands per rank (pJ)
-system.physmem_1.preEnergy 226875 # Energy for precharge commands per rank (pJ)
-system.physmem_1.readEnergy 1497600 # Energy for read commands per rank (pJ)
+system.physmem_0.memoryStateTime::SREF 0 # Time in different power states
+system.physmem_0.memoryStateTime::PRE_PDN 359250 # Time in different power states
+system.physmem_0.memoryStateTime::ACT 4794250 # Time in different power states
+system.physmem_0.memoryStateTime::ACT_PDN 16769500 # Time in different power states
+system.physmem_1.actEnergy 485520 # Energy for activate commands per rank (pJ)
+system.physmem_1.preEnergy 235290 # Energy for precharge commands per rank (pJ)
+system.physmem_1.readEnergy 1570800 # Energy for read commands per rank (pJ)
system.physmem_1.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_1.refreshEnergy 1017120 # Energy for refresh commands per rank (pJ)
-system.physmem_1.actBackEnergy 10696050 # Energy for active background per rank (pJ)
-system.physmem_1.preBackEnergy 117000 # Energy for precharge background per rank (pJ)
-system.physmem_1.totalEnergy 13970445 # Total energy per rank (pJ)
-system.physmem_1.averagePower 882.390336 # Core power per rank (mW)
-system.physmem_1.memoryStateTime::IDLE 103000 # Time in different power states
+system.physmem_1.refreshEnergy 1229280.000000 # Energy for refresh commands per rank (pJ)
+system.physmem_1.actBackEnergy 2963430 # Energy for active background per rank (pJ)
+system.physmem_1.preBackEnergy 82560 # Energy for precharge background per rank (pJ)
+system.physmem_1.actPowerDownEnergy 7182000 # Energy for active power-down per rank (pJ)
+system.physmem_1.prePowerDownEnergy 1440 # Energy for precharge power-down per rank (pJ)
+system.physmem_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.physmem_1.totalEnergy 13750320 # Total energy per rank (pJ)
+system.physmem_1.averagePower 612.009347 # Core power per rank (mW)
+system.physmem_1.totalIdleTime 14672000 # Total Idle time Per DRAM Rank
+system.physmem_1.memoryStateTime::IDLE 109000 # Time in different power states
system.physmem_1.memoryStateTime::REF 520000 # Time in different power states
-system.physmem_1.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem_1.memoryStateTime::ACT 15223250 # Time in different power states
-system.physmem_1.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
-system.cpu.branchPred.lookups 3511 # Number of BP lookups
-system.cpu.branchPred.condPredicted 3511 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 567 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 2933 # Number of BTB lookups
+system.physmem_1.memoryStateTime::SREF 0 # Time in different power states
+system.physmem_1.memoryStateTime::PRE_PDN 3500 # Time in different power states
+system.physmem_1.memoryStateTime::ACT 6091750 # Time in different power states
+system.physmem_1.memoryStateTime::ACT_PDN 15742250 # Time in different power states
+system.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
+system.cpu.branchPred.lookups 3488 # Number of BP lookups
+system.cpu.branchPred.condPredicted 3488 # Number of conditional branches predicted
+system.cpu.branchPred.condIncorrect 571 # Number of conditional branches incorrect
+system.cpu.branchPred.BTBLookups 2960 # Number of BTB lookups
system.cpu.branchPred.BTBHits 0 # Number of BTB hits
system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
system.cpu.branchPred.BTBHitPct 0.000000 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 414 # Number of times the RAS was used to get a target.
+system.cpu.branchPred.usedRAS 360 # Number of times the RAS was used to get a target.
system.cpu.branchPred.RASInCorrect 94 # Number of incorrect RAS predictions.
-system.cpu.branchPred.indirectLookups 2933 # Number of indirect predictor lookups.
-system.cpu.branchPred.indirectHits 496 # Number of indirect target hits.
-system.cpu.branchPred.indirectMisses 2437 # Number of indirect misses.
-system.cpu.branchPredindirectMispredicted 406 # Number of mispredicted indirect branches.
+system.cpu.branchPred.indirectLookups 2960 # Number of indirect predictor lookups.
+system.cpu.branchPred.indirectHits 483 # Number of indirect target hits.
+system.cpu.branchPred.indirectMisses 2477 # Number of indirect misses.
+system.cpu.branchPredindirectMispredicted 410 # Number of mispredicted indirect branches.
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.apic_clk_domain.clock 8000 # Clock period in ticks
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
+system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.workload.num_syscalls 11 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 21382500 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 42766 # number of cpu cycles simulated
+system.cpu.pwrStateResidencyTicks::ON 22466500 # Cumulative time (in ticks) in various power states
+system.cpu.numCycles 44934 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.fetch.icacheStallCycles 11494 # Number of cycles fetch is stalled on an Icache miss
-system.cpu.fetch.Insts 15919 # Number of instructions fetch has processed
-system.cpu.fetch.Branches 3511 # Number of branches that fetch encountered
-system.cpu.fetch.predictedBranches 910 # Number of branches that fetch has predicted taken
-system.cpu.fetch.Cycles 9718 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu.fetch.SquashCycles 1335 # Number of cycles fetch has spent squashing
-system.cpu.fetch.MiscStallCycles 93 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.icacheStallCycles 12177 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 15717 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 3488 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 843 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 10477 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1321 # Number of cycles fetch has spent squashing
+system.cpu.fetch.MiscStallCycles 78 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
system.cpu.fetch.PendingTrapStallCycles 1414 # Number of stall cycles due to pending traps
system.cpu.fetch.PendingQuiesceStallCycles 15 # Number of stall cycles due to pending quiesce instructions
-system.cpu.fetch.IcacheWaitRetryStallCycles 30 # Number of stall cycles due to full MSHR
-system.cpu.fetch.CacheLines 2042 # Number of cache lines fetched
-system.cpu.fetch.IcacheSquashes 273 # Number of outstanding Icache misses that were squashed
-system.cpu.fetch.rateDist::samples 23431 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::mean 1.223593 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::stdev 2.745730 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.IcacheWaitRetryStallCycles 15 # Number of stall cycles due to full MSHR
+system.cpu.fetch.CacheLines 2026 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 276 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 24836 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.141770 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.668775 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::0 19161 81.78% 81.78% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::1 166 0.71% 82.48% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::2 156 0.67% 83.15% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::3 235 1.00% 84.15% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::4 218 0.93% 85.08% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::5 215 0.92% 86.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::6 266 1.14% 87.14% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::7 168 0.72% 87.85% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::8 2846 12.15% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 20599 82.94% 82.94% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 175 0.70% 83.64% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 165 0.66% 84.31% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 225 0.91% 85.22% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 209 0.84% 86.06% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 222 0.89% 86.95% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 269 1.08% 88.03% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 159 0.64% 88.67% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 2813 11.33% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::total 23431 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.082098 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.372235 # Number of inst fetches per cycle
-system.cpu.decode.IdleCycles 11586 # Number of cycles decode is idle
-system.cpu.decode.BlockedCycles 7313 # Number of cycles decode is blocked
-system.cpu.decode.RunCycles 3407 # Number of cycles decode is running
-system.cpu.decode.UnblockCycles 458 # Number of cycles decode is unblocking
-system.cpu.decode.SquashCycles 667 # Number of cycles decode is squashing
-system.cpu.decode.DecodedInsts 26631 # Number of instructions handled by decode
-system.cpu.rename.SquashCycles 667 # Number of cycles rename is squashing
-system.cpu.rename.IdleCycles 11849 # Number of cycles rename is idle
-system.cpu.rename.BlockCycles 2059 # Number of cycles rename is blocking
-system.cpu.rename.serializeStallCycles 1032 # count of cycles rename stalled for serializing inst
-system.cpu.rename.RunCycles 3561 # Number of cycles rename is running
-system.cpu.rename.UnblockCycles 4263 # Number of cycles rename is unblocking
-system.cpu.rename.RenamedInsts 25112 # Number of instructions processed by rename
-system.cpu.rename.ROBFullEvents 14 # Number of times rename has blocked due to ROB full
-system.cpu.rename.IQFullEvents 80 # Number of times rename has blocked due to IQ full
-system.cpu.rename.SQFullEvents 4127 # Number of times rename has blocked due to SQ full
-system.cpu.rename.RenamedOperands 28145 # Number of destination operands rename has renamed
-system.cpu.rename.RenameLookups 61260 # Number of register rename lookups that rename has made
-system.cpu.rename.int_rename_lookups 35084 # Number of integer rename lookups
+system.cpu.fetch.rateDist::total 24836 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.077625 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.349780 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 12221 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 8128 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 3370 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 457 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 660 # Number of cycles decode is squashing
+system.cpu.decode.DecodedInsts 26405 # Number of instructions handled by decode
+system.cpu.rename.SquashCycles 660 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 12481 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 1971 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 1213 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 3524 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 4987 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 24875 # Number of instructions processed by rename
+system.cpu.rename.ROBFullEvents 8 # Number of times rename has blocked due to ROB full
+system.cpu.rename.IQFullEvents 82 # Number of times rename has blocked due to IQ full
+system.cpu.rename.SQFullEvents 4849 # Number of times rename has blocked due to SQ full
+system.cpu.rename.RenamedOperands 27762 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 60616 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 34638 # Number of integer rename lookups
system.cpu.rename.fp_rename_lookups 4 # Number of floating rename lookups
system.cpu.rename.CommittedMaps 11063 # Number of HB maps that are committed
-system.cpu.rename.UndoneMaps 17082 # Number of HB maps that are undone due to squashing
-system.cpu.rename.serializingInsts 24 # count of serializing insts renamed
-system.cpu.rename.tempSerializingInsts 24 # count of temporary serializing insts renamed
-system.cpu.rename.skidInsts 1417 # count of insts added to the skid buffer
-system.cpu.memDep0.insertedLoads 2745 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep0.insertedStores 1552 # Number of stores inserted to the mem dependence unit.
-system.cpu.memDep0.conflictingLoads 11 # Number of conflicting loads.
-system.cpu.memDep0.conflictingStores 7 # Number of conflicting stores.
-system.cpu.iq.iqInstsAdded 21890 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu.iq.iqNonSpecInstsAdded 22 # Number of non-speculative instructions added to the IQ
-system.cpu.iq.iqInstsIssued 18162 # Number of instructions issued
-system.cpu.iq.iqSquashedInstsIssued 138 # Number of squashed instructions issued
-system.cpu.iq.iqSquashedInstsExamined 12165 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu.iq.iqSquashedOperandsExamined 16733 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu.iq.iqSquashedNonSpecRemoved 10 # Number of squashed non-spec instructions that were removed
-system.cpu.iq.issued_per_cycle::samples 23431 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::mean 0.775127 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::stdev 1.748484 # Number of insts issued each cycle
+system.cpu.rename.UndoneMaps 16699 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 25 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 25 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 1436 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2622 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1598 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 10 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 11 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 21775 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 23 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 18112 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 144 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 12051 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 16553 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 11 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 24836 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.729264 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.710819 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::0 18255 77.91% 77.91% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::1 1208 5.16% 83.07% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::2 874 3.73% 86.80% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::3 566 2.42% 89.21% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::4 836 3.57% 92.78% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::5 600 2.56% 95.34% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::6 613 2.62% 97.96% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::7 346 1.48% 99.43% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::8 133 0.57% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 19691 79.28% 79.28% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1200 4.83% 84.12% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 866 3.49% 87.60% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 575 2.32% 89.92% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 815 3.28% 93.20% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 575 2.32% 95.51% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 614 2.47% 97.99% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 364 1.47% 99.45% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 136 0.55% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::total 23431 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 24836 # Number of insts issued each cycle
system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntAlu 213 76.07% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntMult 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntDiv 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMult 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMult 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShift 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 76.07% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemRead 51 18.21% 94.29% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemWrite 16 5.71% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 223 79.93% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 79.93% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 41 14.70% 94.62% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 15 5.38% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.FU_type_0::No_OpClass 2 0.01% 0.01% # Type of FU issued
-system.cpu.iq.FU_type_0::IntAlu 14483 79.74% 79.75% # Type of FU issued
-system.cpu.iq.FU_type_0::IntMult 6 0.03% 79.79% # Type of FU issued
-system.cpu.iq.FU_type_0::IntDiv 7 0.04% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 79.83% # Type of FU issued
-system.cpu.iq.FU_type_0::MemRead 2327 12.81% 92.64% # Type of FU issued
-system.cpu.iq.FU_type_0::MemWrite 1337 7.36% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 14478 79.94% 79.95% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 6 0.03% 79.98% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 7 0.04% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 80.02% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2258 12.47% 92.49% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1361 7.51% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::total 18162 # Type of FU issued
-system.cpu.iq.rate 0.424683 # Inst issue rate
-system.cpu.iq.fu_busy_cnt 280 # FU busy when requested
-system.cpu.iq.fu_busy_rate 0.015417 # FU busy rate (busy events/executed inst)
-system.cpu.iq.int_inst_queue_reads 60165 # Number of integer instruction queue reads
-system.cpu.iq.int_inst_queue_writes 34082 # Number of integer instruction queue writes
-system.cpu.iq.int_inst_queue_wakeup_accesses 16453 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.FU_type_0::total 18112 # Type of FU issued
+system.cpu.iq.rate 0.403080 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 279 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.015404 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 61475 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 33854 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 16418 # Number of integer instruction queue wakeup accesses
system.cpu.iq.fp_inst_queue_reads 8 # Number of floating instruction queue reads
system.cpu.iq.fp_inst_queue_writes 8 # Number of floating instruction queue writes
system.cpu.iq.fp_inst_queue_wakeup_accesses 4 # Number of floating instruction queue wakeup accesses
-system.cpu.iq.int_alu_accesses 18436 # Number of integer alu accesses
+system.cpu.iq.int_alu_accesses 18385 # Number of integer alu accesses
system.cpu.iq.fp_alu_accesses 4 # Number of floating point alu accesses
-system.cpu.iew.lsq.thread0.forwLoads 200 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.forwLoads 215 # Number of loads that had data forwarded from stores
system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread0.squashedLoads 1692 # Number of loads squashed
-system.cpu.iew.lsq.thread0.ignoredResponses 9 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.squashedLoads 1569 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 13 # Number of memory responses ignored because the instruction is squashed
system.cpu.iew.lsq.thread0.memOrderViolation 13 # Number of memory ordering violations
-system.cpu.iew.lsq.thread0.squashedStores 617 # Number of stores squashed
+system.cpu.iew.lsq.thread0.squashedStores 663 # Number of stores squashed
system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
-system.cpu.iew.lsq.thread0.cacheBlocked 9 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.lsq.thread0.cacheBlocked 2 # Number of times an access to memory failed due to the cache being blocked
system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu.iew.iewSquashCycles 667 # Number of cycles IEW is squashing
-system.cpu.iew.iewBlockCycles 1486 # Number of cycles IEW is blocking
-system.cpu.iew.iewUnblockCycles 141 # Number of cycles IEW is unblocking
-system.cpu.iew.iewDispatchedInsts 21912 # Number of instructions dispatched to IQ
-system.cpu.iew.iewDispSquashedInsts 9 # Number of squashed instructions skipped by dispatch
-system.cpu.iew.iewDispLoadInsts 2745 # Number of dispatched load instructions
-system.cpu.iew.iewDispStoreInsts 1552 # Number of dispatched store instructions
-system.cpu.iew.iewDispNonSpecInsts 22 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewSquashCycles 660 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 1482 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 153 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 21798 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 34 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2622 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1598 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 23 # Number of dispatched non-speculative instructions
system.cpu.iew.iewIQFullEvents 0 # Number of times the IQ has become full, causing a stall
-system.cpu.iew.iewLSQFullEvents 140 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 152 # Number of times the LSQ has become full, causing a stall
system.cpu.iew.memOrderViolationEvents 13 # Number of memory order violations
-system.cpu.iew.predictedTakenIncorrect 120 # Number of branches that were predicted taken incorrectly
-system.cpu.iew.predictedNotTakenIncorrect 685 # Number of branches that were predicted not taken incorrectly
-system.cpu.iew.branchMispredicts 805 # Number of branch mispredicts detected at execute
-system.cpu.iew.iewExecutedInsts 17078 # Number of executed instructions
-system.cpu.iew.iewExecLoadInsts 2088 # Number of load instructions executed
-system.cpu.iew.iewExecSquashedInsts 1084 # Number of squashed instructions skipped in execute
+system.cpu.iew.predictedTakenIncorrect 118 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 680 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 798 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 17038 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 2047 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 1074 # Number of squashed instructions skipped in execute
system.cpu.iew.exec_swp 0 # number of swp insts executed
system.cpu.iew.exec_nop 0 # number of nop insts executed
-system.cpu.iew.exec_refs 3333 # number of memory reference insts executed
-system.cpu.iew.exec_branches 1727 # Number of branches executed
-system.cpu.iew.exec_stores 1245 # Number of stores executed
-system.cpu.iew.exec_rate 0.399336 # Inst execution rate
-system.cpu.iew.wb_sent 16776 # cumulative count of insts sent to commit
-system.cpu.iew.wb_count 16457 # cumulative count of insts written-back
-system.cpu.iew.wb_producers 11050 # num instructions producing a value
-system.cpu.iew.wb_consumers 17247 # num instructions consuming a value
-system.cpu.iew.wb_rate 0.384815 # insts written-back per cycle
-system.cpu.iew.wb_fanout 0.640691 # average fanout of values written-back
-system.cpu.commit.commitSquashedInsts 12164 # The number of squashed insts skipped by commit
+system.cpu.iew.exec_refs 3306 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1731 # Number of branches executed
+system.cpu.iew.exec_stores 1259 # Number of stores executed
+system.cpu.iew.exec_rate 0.379178 # Inst execution rate
+system.cpu.iew.wb_sent 16737 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 16422 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 11019 # num instructions producing a value
+system.cpu.iew.wb_consumers 17148 # num instructions consuming a value
+system.cpu.iew.wb_rate 0.365469 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.642582 # average fanout of values written-back
+system.cpu.commit.commitSquashedInsts 12050 # The number of squashed insts skipped by commit
system.cpu.commit.commitNonSpecStalls 12 # The number of times commit has been forced to stall to communicate backwards
-system.cpu.commit.branchMispredicts 655 # The number of times a branch was mispredicted
-system.cpu.commit.committed_per_cycle::samples 21365 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::mean 0.456213 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::stdev 1.347578 # Number of insts commited each cycle
+system.cpu.commit.branchMispredicts 648 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 22793 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.427631 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.307645 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::0 18118 84.80% 84.80% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::1 989 4.63% 89.43% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::2 572 2.68% 92.11% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::3 729 3.41% 95.52% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::4 380 1.78% 97.30% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::5 132 0.62% 97.92% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::6 120 0.56% 98.48% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::7 73 0.34% 98.82% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::8 252 1.18% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 19538 85.72% 85.72% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 1001 4.39% 90.11% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 566 2.48% 92.59% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 727 3.19% 95.78% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 384 1.68% 97.47% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 133 0.58% 98.05% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 124 0.54% 98.60% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 72 0.32% 98.91% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 248 1.09% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::total 21365 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 22793 # Number of insts commited each cycle
system.cpu.commit.committedInsts 5380 # Number of instructions committed
system.cpu.commit.committedOps 9747 # Number of ops (including micro ops) committed
system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
@@ -544,94 +554,94 @@ system.cpu.commit.op_class_0::MemWrite 935 9.59% 100.00% # Cl
system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::total 9747 # Class of committed instruction
-system.cpu.commit.bw_lim_events 252 # number cycles where commit BW limit reached
-system.cpu.rob.rob_reads 43024 # The number of ROB reads
-system.cpu.rob.rob_writes 45919 # The number of ROB writes
-system.cpu.timesIdled 159 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 19335 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.commit.bw_lim_events 248 # number cycles where commit BW limit reached
+system.cpu.rob.rob_reads 44342 # The number of ROB reads
+system.cpu.rob.rob_writes 45672 # The number of ROB writes
+system.cpu.timesIdled 156 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 20098 # Total number of cycles that the CPU has spent unscheduled due to idling
system.cpu.committedInsts 5380 # Number of Instructions Simulated
system.cpu.committedOps 9747 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi 7.949071 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 7.949071 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.125801 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.125801 # IPC: Total IPC of All Threads
-system.cpu.int_regfile_reads 21733 # number of integer regfile reads
-system.cpu.int_regfile_writes 13291 # number of integer regfile writes
+system.cpu.cpi 8.352045 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 8.352045 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.119731 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.119731 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 21663 # number of integer regfile reads
+system.cpu.int_regfile_writes 13219 # number of integer regfile writes
system.cpu.fp_regfile_reads 4 # number of floating regfile reads
-system.cpu.cc_regfile_reads 8307 # number of cc regfile reads
-system.cpu.cc_regfile_writes 5092 # number of cc regfile writes
-system.cpu.misc_regfile_reads 7667 # number of misc regfile reads
+system.cpu.cc_regfile_reads 8286 # number of cc regfile reads
+system.cpu.cc_regfile_writes 5066 # number of cc regfile writes
+system.cpu.misc_regfile_reads 7640 # number of misc regfile reads
system.cpu.misc_regfile_writes 1 # number of misc regfile writes
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 81.328051 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 2579 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 139 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 18.553957 # Average number of references to valid blocks.
+system.cpu.dcache.tags.tagsinuse 81.537714 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 2520 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 141 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 17.872340 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 81.328051 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.019855 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.019855 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 139 # Occupied blocks per task id
+system.cpu.dcache.tags.occ_blocks::cpu.data 81.537714 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.019907 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.019907 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_task_id_blocks::1024 141 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 47 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 92 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.033936 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 5679 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 5679 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.ReadReq_hits::cpu.data 1719 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1719 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 860 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 860 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 2579 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 2579 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 2579 # number of overall hits
-system.cpu.dcache.overall_hits::total 2579 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 116 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 116 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 75 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 75 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 191 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 191 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 191 # number of overall misses
-system.cpu.dcache.overall_misses::total 191 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 9465500 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 9465500 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 6317000 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 6317000 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 15782500 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 15782500 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 15782500 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 15782500 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 1835 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 1835 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 94 # Occupied blocks per task id
+system.cpu.dcache.tags.occ_task_id_percent::1024 0.034424 # Percentage of cache occupancy per task id
+system.cpu.dcache.tags.tag_accesses 5567 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 5567 # Number of data accesses
+system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
+system.cpu.dcache.ReadReq_hits::cpu.data 1661 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 1661 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 859 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 859 # number of WriteReq hits
+system.cpu.dcache.demand_hits::cpu.data 2520 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 2520 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 2520 # number of overall hits
+system.cpu.dcache.overall_hits::total 2520 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 117 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 117 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 76 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 76 # number of WriteReq misses
+system.cpu.dcache.demand_misses::cpu.data 193 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 193 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 193 # number of overall misses
+system.cpu.dcache.overall_misses::total 193 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 10226000 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 10226000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 7070500 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 7070500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 17296500 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 17296500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 17296500 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 17296500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 1778 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 1778 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 935 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::total 935 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2770 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2770 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2770 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2770 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.063215 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.063215 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.080214 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.080214 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.068953 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.068953 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.068953 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.068953 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 81599.137931 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 81599.137931 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 84226.666667 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 84226.666667 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 82630.890052 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 82630.890052 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 82630.890052 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 82630.890052 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 124 # number of cycles access was blocked
+system.cpu.dcache.demand_accesses::cpu.data 2713 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 2713 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 2713 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 2713 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.065804 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.065804 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.081283 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.081283 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.071139 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.071139 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.071139 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.071139 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 87401.709402 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 87401.709402 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 93032.894737 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 93032.894737 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 89619.170984 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 89619.170984 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 89619.170984 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 89619.170984 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 132 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 3 # number of cycles access was blocked
system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 41.333333 # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs 44 # average number of cycles each access was blocked
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.ReadReq_mshr_hits::cpu.data 52 # number of ReadReq MSHR hits
system.cpu.dcache.ReadReq_mshr_hits::total 52 # number of ReadReq MSHR hits
@@ -639,96 +649,96 @@ system.cpu.dcache.demand_mshr_hits::cpu.data 52
system.cpu.dcache.demand_mshr_hits::total 52 # number of demand (read+write) MSHR hits
system.cpu.dcache.overall_mshr_hits::cpu.data 52 # number of overall MSHR hits
system.cpu.dcache.overall_mshr_hits::total 52 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 64 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 64 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 75 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 75 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 139 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 139 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 139 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 139 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 5739000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 5739000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6242000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 6242000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 11981000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 11981000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 11981000 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 11981000 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.034877 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.034877 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.080214 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.080214 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.050181 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.050181 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.050181 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.050181 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 89671.875000 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 89671.875000 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 83226.666667 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 83226.666667 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 86194.244604 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 86194.244604 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 86194.244604 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 86194.244604 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 65 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 65 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::cpu.data 76 # number of WriteReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::total 76 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses::cpu.data 141 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 141 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 141 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 141 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6448000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 6448000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6994500 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 6994500 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 13442500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 13442500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 13442500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 13442500 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.036558 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.036558 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.081283 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.081283 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.051972 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.051972 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.051972 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.051972 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 99200 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 99200 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 92032.894737 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 92032.894737 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 95336.879433 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 95336.879433 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 95336.879433 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 95336.879433 # average overall mshr miss latency
+system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 130.610950 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 1656 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 279 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 5.935484 # Average number of references to valid blocks.
+system.cpu.icache.tags.tagsinuse 130.260906 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 1641 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 278 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 5.902878 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 130.610950 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.063775 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.063775 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 279 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 148 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 131 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.136230 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 4363 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 4363 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 1656 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 1656 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 1656 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 1656 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 1656 # number of overall hits
-system.cpu.icache.overall_hits::total 1656 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 386 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 386 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 386 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 386 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 386 # number of overall misses
-system.cpu.icache.overall_misses::total 386 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 29282500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 29282500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 29282500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 29282500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 29282500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 29282500 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 2042 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 2042 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 2042 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 2042 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 2042 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 2042 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.189030 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.189030 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.189030 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.189030 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.189030 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.189030 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 75861.398964 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 75861.398964 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 75861.398964 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 75861.398964 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 75861.398964 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 75861.398964 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 121 # number of cycles access was blocked
+system.cpu.icache.tags.occ_blocks::cpu.inst 130.260906 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.063604 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.063604 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_task_id_blocks::1024 278 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 140 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 138 # Occupied blocks per task id
+system.cpu.icache.tags.occ_task_id_percent::1024 0.135742 # Percentage of cache occupancy per task id
+system.cpu.icache.tags.tag_accesses 4330 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 4330 # Number of data accesses
+system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
+system.cpu.icache.ReadReq_hits::cpu.inst 1641 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 1641 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 1641 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 1641 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 1641 # number of overall hits
+system.cpu.icache.overall_hits::total 1641 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 385 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 385 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 385 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 385 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 385 # number of overall misses
+system.cpu.icache.overall_misses::total 385 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 30146000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 30146000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 30146000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 30146000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 30146000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 30146000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 2026 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 2026 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 2026 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 2026 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 2026 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 2026 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.190030 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.190030 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.190030 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.190030 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.190030 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.190030 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 78301.298701 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 78301.298701 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 78301.298701 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 78301.298701 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 78301.298701 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 78301.298701 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 171 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 3 # number of cycles access was blocked
system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs 40.333333 # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs 57 # average number of cycles each access was blocked
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.ReadReq_mshr_hits::cpu.inst 107 # number of ReadReq MSHR hits
system.cpu.icache.ReadReq_mshr_hits::total 107 # number of ReadReq MSHR hits
@@ -736,238 +746,238 @@ system.cpu.icache.demand_mshr_hits::cpu.inst 107
system.cpu.icache.demand_mshr_hits::total 107 # number of demand (read+write) MSHR hits
system.cpu.icache.overall_mshr_hits::cpu.inst 107 # number of overall MSHR hits
system.cpu.icache.overall_mshr_hits::total 107 # number of overall MSHR hits
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 279 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 279 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 279 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 279 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 279 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 279 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 22839500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 22839500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 22839500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 22839500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 22839500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 22839500 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.136631 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.136631 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.136631 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.136631 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.136631 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.136631 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 81862.007168 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 81862.007168 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 81862.007168 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 81862.007168 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 81862.007168 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 81862.007168 # average overall mshr miss latency
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 278 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 278 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 278 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 278 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 278 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 278 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 23206500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 23206500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 23206500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 23206500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 23206500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 23206500 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.137216 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.137216 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.137216 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.137216 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.137216 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.137216 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 83476.618705 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 83476.618705 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 83476.618705 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 83476.618705 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 83476.618705 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 83476.618705 # average overall mshr miss latency
+system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 212.046379 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 211.897546 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 417 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 0.002398 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 418 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 0.002392 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 130.650071 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 81.396308 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.003987 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.002484 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.006471 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 417 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 194 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 223 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.012726 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 3761 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 3761 # Number of data accesses
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 130.293933 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 81.603612 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.003976 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.002490 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.006467 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_task_id_blocks::1024 418 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 186 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 232 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_percent::1024 0.012756 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.tag_accesses 3770 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 3770 # Number of data accesses
+system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1 # number of ReadCleanReq hits
system.cpu.l2cache.ReadCleanReq_hits::total 1 # number of ReadCleanReq hits
system.cpu.l2cache.demand_hits::cpu.inst 1 # number of demand (read+write) hits
system.cpu.l2cache.demand_hits::total 1 # number of demand (read+write) hits
system.cpu.l2cache.overall_hits::cpu.inst 1 # number of overall hits
system.cpu.l2cache.overall_hits::total 1 # number of overall hits
-system.cpu.l2cache.ReadExReq_misses::cpu.data 75 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 75 # number of ReadExReq misses
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 278 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadCleanReq_misses::total 278 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 64 # number of ReadSharedReq misses
-system.cpu.l2cache.ReadSharedReq_misses::total 64 # number of ReadSharedReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 278 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 139 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 417 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 278 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 139 # number of overall misses
-system.cpu.l2cache.overall_misses::total 417 # number of overall misses
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6129000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 6129000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 22409000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 22409000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 5642000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 5642000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 22409000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 11771000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 34180000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 22409000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 11771000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 34180000 # number of overall miss cycles
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 75 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 75 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 279 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::total 279 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 64 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::total 64 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 279 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 139 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 418 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 279 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 139 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 418 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 76 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 76 # number of ReadExReq misses
+system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 277 # number of ReadCleanReq misses
+system.cpu.l2cache.ReadCleanReq_misses::total 277 # number of ReadCleanReq misses
+system.cpu.l2cache.ReadSharedReq_misses::cpu.data 65 # number of ReadSharedReq misses
+system.cpu.l2cache.ReadSharedReq_misses::total 65 # number of ReadSharedReq misses
+system.cpu.l2cache.demand_misses::cpu.inst 277 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 141 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 418 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses::cpu.inst 277 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 141 # number of overall misses
+system.cpu.l2cache.overall_misses::total 418 # number of overall misses
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6880500 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 6880500 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 22777500 # number of ReadCleanReq miss cycles
+system.cpu.l2cache.ReadCleanReq_miss_latency::total 22777500 # number of ReadCleanReq miss cycles
+system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 6350000 # number of ReadSharedReq miss cycles
+system.cpu.l2cache.ReadSharedReq_miss_latency::total 6350000 # number of ReadSharedReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 22777500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 13230500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 36008000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 22777500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 13230500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 36008000 # number of overall miss cycles
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 76 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 76 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 278 # number of ReadCleanReq accesses(hits+misses)
+system.cpu.l2cache.ReadCleanReq_accesses::total 278 # number of ReadCleanReq accesses(hits+misses)
+system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 65 # number of ReadSharedReq accesses(hits+misses)
+system.cpu.l2cache.ReadSharedReq_accesses::total 65 # number of ReadSharedReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.inst 278 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 141 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 419 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 278 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 141 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 419 # number of overall (read+write) accesses
system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.996416 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.996416 # miss rate for ReadCleanReq accesses
+system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.996403 # miss rate for ReadCleanReq accesses
+system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.996403 # miss rate for ReadCleanReq accesses
system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1 # miss rate for ReadSharedReq accesses
system.cpu.l2cache.ReadSharedReq_miss_rate::total 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996416 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996403 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.997608 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996416 # miss rate for overall accesses
+system.cpu.l2cache.demand_miss_rate::total 0.997613 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996403 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.997608 # miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 81720 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 81720 # average ReadExReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 80607.913669 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 80607.913669 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 88156.250000 # average ReadSharedReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 88156.250000 # average ReadSharedReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 80607.913669 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 84683.453237 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 81966.426859 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 80607.913669 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 84683.453237 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 81966.426859 # average overall miss latency
+system.cpu.l2cache.overall_miss_rate::total 0.997613 # miss rate for overall accesses
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 90532.894737 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 90532.894737 # average ReadExReq miss latency
+system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 82229.241877 # average ReadCleanReq miss latency
+system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 82229.241877 # average ReadCleanReq miss latency
+system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 97692.307692 # average ReadSharedReq miss latency
+system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 97692.307692 # average ReadSharedReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 82229.241877 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 93833.333333 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 86143.540670 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 82229.241877 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 93833.333333 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 86143.540670 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 75 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 75 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 278 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 278 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 64 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 64 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 278 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 139 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 417 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 278 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 139 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 417 # number of overall MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5379000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5379000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 19629000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 19629000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 5002000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 5002000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 19629000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 10381000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 30010000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 19629000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 10381000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 30010000 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 76 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::total 76 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 277 # number of ReadCleanReq MSHR misses
+system.cpu.l2cache.ReadCleanReq_mshr_misses::total 277 # number of ReadCleanReq MSHR misses
+system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 65 # number of ReadSharedReq MSHR misses
+system.cpu.l2cache.ReadSharedReq_mshr_misses::total 65 # number of ReadSharedReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.inst 277 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 141 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::total 418 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.inst 277 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.data 141 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::total 418 # number of overall MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6120500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6120500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 20007500 # number of ReadCleanReq MSHR miss cycles
+system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 20007500 # number of ReadCleanReq MSHR miss cycles
+system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 5700000 # number of ReadSharedReq MSHR miss cycles
+system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 5700000 # number of ReadSharedReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 20007500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 11820500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 31828000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 20007500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 11820500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 31828000 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.996416 # mshr miss rate for ReadCleanReq accesses
+system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.996403 # mshr miss rate for ReadCleanReq accesses
+system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.996403 # mshr miss rate for ReadCleanReq accesses
system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadSharedReq accesses
system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996403 # mshr miss rate for demand accesses
system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.997608 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for overall accesses
+system.cpu.l2cache.demand_mshr_miss_rate::total 0.997613 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996403 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.997608 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 71720 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 71720 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 70607.913669 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 70607.913669 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 78156.250000 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 78156.250000 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 70607.913669 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 74683.453237 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 71966.426859 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 70607.913669 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 74683.453237 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 71966.426859 # average overall mshr miss latency
-system.cpu.toL2Bus.snoop_filter.tot_requests 418 # Total number of requests made to the snoop filter.
+system.cpu.l2cache.overall_mshr_miss_rate::total 0.997613 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 80532.894737 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 80532.894737 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 72229.241877 # average ReadCleanReq mshr miss latency
+system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 72229.241877 # average ReadCleanReq mshr miss latency
+system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 87692.307692 # average ReadSharedReq mshr miss latency
+system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 87692.307692 # average ReadSharedReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 72229.241877 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 83833.333333 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 76143.540670 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 72229.241877 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 83833.333333 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 76143.540670 # average overall mshr miss latency
+system.cpu.toL2Bus.snoop_filter.tot_requests 419 # Total number of requests made to the snoop filter.
system.cpu.toL2Bus.snoop_filter.hit_single_requests 1 # Number of requests hitting in the snoop filter with a single holder of the requested data.
system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
system.cpu.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.cpu.toL2Bus.trans_dist::ReadResp 343 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 75 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 75 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 279 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 64 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 558 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 278 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 836 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17856 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8896 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size::total 26752 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.trans_dist::ReadExReq 76 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 76 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadCleanReq 278 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadSharedReq 65 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 556 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 282 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 838 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17792 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9024 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 26816 # Cumulative packet size per connected master and slave (bytes)
system.cpu.toL2Bus.snoops 0 # Total snoops (count)
system.cpu.toL2Bus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.cpu.toL2Bus.snoop_fanout::samples 418 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::mean 0.002392 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::stdev 0.048912 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::samples 419 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 0.002387 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.048853 # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::0 417 99.76% 99.76% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 418 99.76% 99.76% # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::1 1 0.24% 100.00% # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::min_value 0 # Request fanout histogram
system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::total 418 # Request fanout histogram
-system.cpu.toL2Bus.reqLayer0.occupancy 209000 # Layer occupancy (ticks)
-system.cpu.toL2Bus.reqLayer0.utilization 1.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 418500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 2.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 208500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer1.utilization 1.0 # Layer utilization (%)
-system.membus.snoop_filter.tot_requests 417 # Total number of requests made to the snoop filter.
+system.cpu.toL2Bus.snoop_fanout::total 419 # Request fanout histogram
+system.cpu.toL2Bus.reqLayer0.occupancy 209500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.reqLayer0.utilization 0.9 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer0.occupancy 417000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.utilization 1.9 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer1.occupancy 211500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.utilization 0.9 # Layer utilization (%)
+system.membus.snoop_filter.tot_requests 418 # Total number of requests made to the snoop filter.
system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 21382500 # Cumulative time (in ticks) in various power states
+system.membus.pwrStateResidencyTicks::UNDEFINED 22466500 # Cumulative time (in ticks) in various power states
system.membus.trans_dist::ReadResp 342 # Transaction distribution
-system.membus.trans_dist::ReadExReq 75 # Transaction distribution
-system.membus.trans_dist::ReadExResp 75 # Transaction distribution
+system.membus.trans_dist::ReadExReq 76 # Transaction distribution
+system.membus.trans_dist::ReadExResp 76 # Transaction distribution
system.membus.trans_dist::ReadSharedReq 342 # Transaction distribution
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 834 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 834 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 834 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26688 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.l2cache.mem_side::total 26688 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 26688 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 836 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 836 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 836 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26752 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 26752 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26752 # Cumulative packet size per connected master and slave (bytes)
system.membus.snoops 0 # Total snoops (count)
system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 417 # Request fanout histogram
+system.membus.snoop_fanout::samples 418 # Request fanout histogram
system.membus.snoop_fanout::mean 0 # Request fanout histogram
system.membus.snoop_fanout::stdev 0 # Request fanout histogram
system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 417 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::0 418 100.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::min_value 0 # Request fanout histogram
system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 417 # Request fanout histogram
-system.membus.reqLayer0.occupancy 503500 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 2.4 # Layer utilization (%)
-system.membus.respLayer1.occupancy 2228500 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 10.4 # Layer utilization (%)
+system.membus.snoop_fanout::total 418 # Request fanout histogram
+system.membus.reqLayer0.occupancy 506000 # Layer occupancy (ticks)
+system.membus.reqLayer0.utilization 2.3 # Layer utilization (%)
+system.membus.respLayer1.occupancy 2231250 # Layer occupancy (ticks)
+system.membus.respLayer1.utilization 9.9 # Layer utilization (%)
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
index f585dbbc0..49adea038 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
@@ -14,6 +14,7 @@ children=clk_domain cpu dvfs_handler mem_ctrls ruby sys_port_proxy voltage_domai
boot_osflags=a
cache_line_size=64
clk_domain=system.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
exit_on_work_items=false
init_param=0
@@ -22,11 +23,15 @@ kernel_addr_check=true
load_addr_mask=1099511627775
load_offset=0
mem_mode=timing
-mem_ranges=0:268435455
+mem_ranges=0:268435455:0:0:0:0
memories=system.mem_ctrls
mmap_using_noreserve=false
multi_thread=false
num_work_ids=16
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
readfile=
symbolfile=
thermal_components=
@@ -55,6 +60,7 @@ branchPred=Null
checker=Null
clk_domain=system.cpu.clk_domain
cpu_id=0
+default_p_state=UNDEFINED
do_checkpoint_insts=true
do_quiesce=true
do_statistics_insts=true
@@ -70,6 +76,10 @@ max_insts_any_thread=0
max_loads_all_threads=0
max_loads_any_thread=0
numThreads=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
profile=0
progress_interval=0
simpoint_start_insts=
@@ -105,18 +115,28 @@ walker=system.cpu.dtb.walker
[system.cpu.dtb.walker]
type=X86PagetableWalker
clk_domain=system.cpu.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
num_squash_per_cycle=4
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
system=system
port=system.ruby.l1_cntrl0.sequencer.slave[3]
[system.cpu.interrupts]
type=X86LocalApic
clk_domain=system.cpu.apic_clk_domain
+default_p_state=UNDEFINED
eventq_index=0
int_latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
pio_addr=2305843009213693952
pio_latency=100
+power_model=Null
system=system
int_master=system.ruby.l1_cntrl0.sequencer.slave[4]
int_slave=system.ruby.l1_cntrl0.sequencer.master[1]
@@ -136,8 +156,13 @@ walker=system.cpu.itb.walker
[system.cpu.itb.walker]
type=X86PagetableWalker
clk_domain=system.cpu.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
num_squash_per_cycle=4
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
system=system
port=system.ruby.l1_cntrl0.sequencer.slave[2]
@@ -155,7 +180,7 @@ env=
errout=cerr
euid=100
eventq_index=0
-executable=/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
+executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/x86/linux/hello
gid=100
input=cin
kvmInSE=false
@@ -178,27 +203,27 @@ transition_latency=100000
[system.mem_ctrls]
type=DRAMCtrl
-IDD0=0.075000
+IDD0=0.055000
IDD02=0.000000
-IDD2N=0.050000
+IDD2N=0.032000
IDD2N2=0.000000
IDD2P0=0.000000
IDD2P02=0.000000
-IDD2P1=0.000000
+IDD2P1=0.032000
IDD2P12=0.000000
-IDD3N=0.057000
+IDD3N=0.038000
IDD3N2=0.000000
IDD3P0=0.000000
IDD3P02=0.000000
-IDD3P1=0.000000
+IDD3P1=0.038000
IDD3P12=0.000000
-IDD4R=0.187000
+IDD4R=0.157000
IDD4R2=0.000000
-IDD4W=0.165000
+IDD4W=0.125000
IDD4W2=0.000000
-IDD5=0.220000
+IDD5=0.235000
IDD52=0.000000
-IDD6=0.000000
+IDD6=0.020000
IDD62=0.000000
VDD=1.500000
VDD2=0.000000
@@ -210,6 +235,7 @@ burst_length=8
channels=1
clk_domain=system.clk_domain
conf_table_reported=true
+default_p_state=UNDEFINED
device_bus_width=8
device_rowbuffer_size=1024
device_size=536870912
@@ -217,12 +243,17 @@ devices_per_rank=8
dll=true
eventq_index=0
in_addr_map=true
+kvm_map=true
max_accesses_per_row=16
mem_sched_policy=frfcfs
min_writes_per_switch=16
null=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
page_policy=open_adaptive
-range=0:268435455
+power_model=Null
+range=0:268435455:5:19:0:0
ranks_per_channel=2
read_buffer_size=32
static_backend_latency=10
@@ -244,9 +275,9 @@ tRTW=3
tWR=15
tWTR=8
tXAW=30
-tXP=0
+tXP=6
tXPDLL=0
-tXS=0
+tXS=270
tXSDLL=0
write_buffer_size=64
write_high_thresh_perc=85
@@ -260,12 +291,17 @@ access_backing_store=false
all_instructions=false
block_size_bytes=64
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
hot_lines=false
memory_size_bits=48
num_of_sequencers=1
number_of_virtual_networks=5
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
phys_mem=Null
+power_model=Null
randomization=false
[system.ruby.clk_domain]
@@ -282,6 +318,7 @@ children=directory dmaRequestToDir dmaResponseFromDir forwardFromDir requestToDi
buffer_size=0
clk_domain=system.ruby.clk_domain
cluster_id=0
+default_p_state=UNDEFINED
directory=system.ruby.dir_cntrl0.directory
directory_latency=12
dmaRequestToDir=system.ruby.dir_cntrl0.dmaRequestToDir
@@ -289,6 +326,10 @@ dmaResponseFromDir=system.ruby.dir_cntrl0.dmaResponseFromDir
eventq_index=0
forwardFromDir=system.ruby.dir_cntrl0.forwardFromDir
number_of_TBEs=256
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
recycle_latency=10
requestToDir=system.ruby.dir_cntrl0.requestToDir
responseFromDir=system.ruby.dir_cntrl0.responseFromDir
@@ -362,11 +403,16 @@ cacheMemory=system.ruby.l1_cntrl0.cacheMemory
cache_response_latency=12
clk_domain=system.cpu.clk_domain
cluster_id=0
+default_p_state=UNDEFINED
eventq_index=0
forwardToCache=system.ruby.l1_cntrl0.forwardToCache
issue_latency=2
mandatoryQueue=system.ruby.l1_cntrl0.mandatoryQueue
number_of_TBEs=256
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
recycle_latency=10
requestFromCache=system.ruby.l1_cntrl0.requestFromCache
responseFromCache=system.ruby.l1_cntrl0.responseFromCache
@@ -448,17 +494,22 @@ coreid=99
dcache=system.ruby.l1_cntrl0.cacheMemory
dcache_hit_latency=1
deadlock_threshold=500000
+default_p_state=UNDEFINED
eventq_index=0
+garnet_standalone=false
icache=system.ruby.l1_cntrl0.cacheMemory
icache_hit_latency=1
is_cpu_sequencer=true
max_outstanding_requests=16
no_retry_on_stall=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
ruby_system=system.ruby
support_data_reqs=true
support_inst_reqs=true
system=system
-using_network_tester=false
using_ruby_tester=false
version=0
master=system.cpu.interrupts.pio system.cpu.interrupts.int_slave
@@ -472,18 +523,23 @@ eventq_index=0
[system.ruby.network]
type=SimpleNetwork
-children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_links0 int_links1 routers0 routers1 routers2
+children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_link_buffers20 int_link_buffers21 int_link_buffers22 int_link_buffers23 int_link_buffers24 int_link_buffers25 int_link_buffers26 int_link_buffers27 int_link_buffers28 int_link_buffers29 int_link_buffers30 int_link_buffers31 int_link_buffers32 int_link_buffers33 int_link_buffers34 int_link_buffers35 int_link_buffers36 int_link_buffers37 int_link_buffers38 int_link_buffers39 int_links0 int_links1 int_links2 int_links3 routers0 routers1 routers2
adaptive_routing=false
buffer_size=0
clk_domain=system.ruby.clk_domain
control_msg_size=8
+default_p_state=UNDEFINED
endpoint_bandwidth=1000
eventq_index=0
ext_links=system.ruby.network.ext_links0 system.ruby.network.ext_links1
-int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19
-int_links=system.ruby.network.int_links0 system.ruby.network.int_links1
+int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19 system.ruby.network.int_link_buffers20 system.ruby.network.int_link_buffers21 system.ruby.network.int_link_buffers22 system.ruby.network.int_link_buffers23 system.ruby.network.int_link_buffers24 system.ruby.network.int_link_buffers25 system.ruby.network.int_link_buffers26 system.ruby.network.int_link_buffers27 system.ruby.network.int_link_buffers28 system.ruby.network.int_link_buffers29 system.ruby.network.int_link_buffers30 system.ruby.network.int_link_buffers31 system.ruby.network.int_link_buffers32 system.ruby.network.int_link_buffers33 system.ruby.network.int_link_buffers34 system.ruby.network.int_link_buffers35 system.ruby.network.int_link_buffers36 system.ruby.network.int_link_buffers37 system.ruby.network.int_link_buffers38 system.ruby.network.int_link_buffers39
+int_links=system.ruby.network.int_links0 system.ruby.network.int_links1 system.ruby.network.int_links2 system.ruby.network.int_links3
netifs=
number_of_virtual_networks=5
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
routers=system.ruby.network.routers0 system.ruby.network.routers1 system.ruby.network.routers2
ruby_system=system.ruby
topology=Crossbar
@@ -650,32 +706,206 @@ eventq_index=0
ordered=true
randomization=false
+[system.ruby.network.int_link_buffers20]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers21]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers22]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers23]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers24]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers25]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers26]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers27]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers28]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers29]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers30]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers31]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers32]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers33]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers34]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers35]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers36]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers37]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers38]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers39]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
[system.ruby.network.int_links0]
type=SimpleIntLink
bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers2
eventq_index=0
latency=1
link_id=2
-node_a=system.ruby.network.routers0
-node_b=system.ruby.network.routers2
+src_node=system.ruby.network.routers0
+src_outport=
weight=1
[system.ruby.network.int_links1]
type=SimpleIntLink
bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers2
eventq_index=0
latency=1
link_id=3
-node_a=system.ruby.network.routers1
-node_b=system.ruby.network.routers2
+src_node=system.ruby.network.routers1
+src_outport=
+weight=1
+
+[system.ruby.network.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers0
+eventq_index=0
+latency=1
+link_id=4
+src_node=system.ruby.network.routers2
+src_outport=
+weight=1
+
+[system.ruby.network.int_links3]
+type=SimpleIntLink
+bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers1
+eventq_index=0
+latency=1
+link_id=5
+src_node=system.ruby.network.routers2
+src_outport=
weight=1
[system.ruby.network.routers0]
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers0.port_buffers00 system.ruby.network.routers0.port_buffers01 system.ruby.network.routers0.port_buffers02 system.ruby.network.routers0.port_buffers03 system.ruby.network.routers0.port_buffers04 system.ruby.network.routers0.port_buffers05 system.ruby.network.routers0.port_buffers06 system.ruby.network.routers0.port_buffers07 system.ruby.network.routers0.port_buffers08 system.ruby.network.routers0.port_buffers09 system.ruby.network.routers0.port_buffers10 system.ruby.network.routers0.port_buffers11 system.ruby.network.routers0.port_buffers12 system.ruby.network.routers0.port_buffers13 system.ruby.network.routers0.port_buffers14
+power_model=Null
router_id=0
virt_nets=5
@@ -788,8 +1018,14 @@ randomization=false
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers1.port_buffers00 system.ruby.network.routers1.port_buffers01 system.ruby.network.routers1.port_buffers02 system.ruby.network.routers1.port_buffers03 system.ruby.network.routers1.port_buffers04 system.ruby.network.routers1.port_buffers05 system.ruby.network.routers1.port_buffers06 system.ruby.network.routers1.port_buffers07 system.ruby.network.routers1.port_buffers08 system.ruby.network.routers1.port_buffers09 system.ruby.network.routers1.port_buffers10 system.ruby.network.routers1.port_buffers11 system.ruby.network.routers1.port_buffers12 system.ruby.network.routers1.port_buffers13 system.ruby.network.routers1.port_buffers14
+power_model=Null
router_id=1
virt_nets=5
@@ -902,8 +1138,14 @@ randomization=false
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14 port_buffers15 port_buffers16 port_buffers17 port_buffers18 port_buffers19
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers2.port_buffers00 system.ruby.network.routers2.port_buffers01 system.ruby.network.routers2.port_buffers02 system.ruby.network.routers2.port_buffers03 system.ruby.network.routers2.port_buffers04 system.ruby.network.routers2.port_buffers05 system.ruby.network.routers2.port_buffers06 system.ruby.network.routers2.port_buffers07 system.ruby.network.routers2.port_buffers08 system.ruby.network.routers2.port_buffers09 system.ruby.network.routers2.port_buffers10 system.ruby.network.routers2.port_buffers11 system.ruby.network.routers2.port_buffers12 system.ruby.network.routers2.port_buffers13 system.ruby.network.routers2.port_buffers14 system.ruby.network.routers2.port_buffers15 system.ruby.network.routers2.port_buffers16 system.ruby.network.routers2.port_buffers17 system.ruby.network.routers2.port_buffers18 system.ruby.network.routers2.port_buffers19
+power_model=Null
router_id=2
virt_nets=5
@@ -1050,9 +1292,14 @@ randomization=false
[system.sys_port_proxy]
type=RubyPortProxy
clk_domain=system.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
is_cpu_sequencer=true
no_retry_on_stall=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
ruby_system=system.ruby
support_data_reqs=true
support_inst_reqs=true
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
index ccfdd3697..f6f6f15a5 100755
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
@@ -4,8 +4,7 @@ warn: rounding error > tolerance
1.250000 rounded to 1
warn: rounding error > tolerance
1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (256 Mbytes)
warn: Sockets disabled, not accepting gdb connections
+warn: ClockedObject: More than one power state change request encountered within the same simulation tick
warn: Replacement policy updates recently became the responsibility of SLICC state machines. Make sure to setMRU() near callbacks in .sm files!
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
index 944308c19..60c5b94b3 100755
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
@@ -1,12 +1,14 @@
+Redirecting stdout to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby/simout
+Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby/simerr
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jan 21 2016 14:41:03
-gem5 started Jan 21 2016 14:41:52
-gem5 executing on zizzer, pid 17892
-command line: build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby -re /z/atgutier/gem5/gem5-commit/tests/run.py build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby
+gem5 compiled Oct 11 2016 00:00:58
+gem5 started Oct 13 2016 21:09:01
+gem5 executing on e108600-lin, pid 17636
+command line: /work/curdun01/gem5-external.hg/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/x86/linux/simple-timing-ruby
Global frequency set at 1000000000 ticks per second
info: Entering event queue @ 0. Starting simulation...
Hello world!
-Exiting @ tick 87948 because target called exit()
+Exiting @ tick 91859 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
index 5369fe205..61c4aeeab 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
@@ -1,19 +1,19 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.000088 # Number of seconds simulated
-sim_ticks 87948 # Number of ticks simulated
-final_tick 87948 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.000092 # Number of seconds simulated
+sim_ticks 91859 # Number of ticks simulated
+final_tick 91859 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_inst_rate 83700 # Simulator instruction rate (inst/s)
-host_op_rate 151608 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1367648 # Simulator tick rate (ticks/s)
-host_mem_usage 473696 # Number of bytes of host memory used
-host_seconds 0.06 # Real time elapsed on the host
+host_inst_rate 42401 # Simulator instruction rate (inst/s)
+host_op_rate 76797 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 723555 # Simulator tick rate (ticks/s)
+host_mem_usage 431840 # Number of bytes of host memory used
+host_seconds 0.13 # Real time elapsed on the host
sim_insts 5381 # Number of instructions simulated
sim_ops 9748 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
-system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.mem_ctrls.bytes_read::ruby.dir_cntrl0 88128 # Number of bytes read from this memory
system.mem_ctrls.bytes_read::total 88128 # Number of bytes read from this memory
system.mem_ctrls.bytes_written::ruby.dir_cntrl0 87872 # Number of bytes written to this memory
@@ -22,59 +22,59 @@ system.mem_ctrls.num_reads::ruby.dir_cntrl0 1377 #
system.mem_ctrls.num_reads::total 1377 # Number of read requests responded to by this memory
system.mem_ctrls.num_writes::ruby.dir_cntrl0 1373 # Number of write requests responded to by this memory
system.mem_ctrls.num_writes::total 1373 # Number of write requests responded to by this memory
-system.mem_ctrls.bw_read::ruby.dir_cntrl0 1002046664 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_read::total 1002046664 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::ruby.dir_cntrl0 999135853 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::total 999135853 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_total::ruby.dir_cntrl0 2001182517 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrls.bw_total::total 2001182517 # Total bandwidth to/from this memory (bytes/s)
+system.mem_ctrls.bw_read::ruby.dir_cntrl0 959383403 # Total read bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_read::total 959383403 # Total read bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_write::ruby.dir_cntrl0 956596523 # Write bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_write::total 956596523 # Write bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_total::ruby.dir_cntrl0 1915979926 # Total bandwidth to/from this memory (bytes/s)
+system.mem_ctrls.bw_total::total 1915979926 # Total bandwidth to/from this memory (bytes/s)
system.mem_ctrls.readReqs 1377 # Number of read requests accepted
system.mem_ctrls.writeReqs 1373 # Number of write requests accepted
system.mem_ctrls.readBursts 1377 # Number of DRAM read bursts, including those serviced by the write queue
system.mem_ctrls.writeBursts 1373 # Number of DRAM write bursts, including those merged in the write queue
-system.mem_ctrls.bytesReadDRAM 40320 # Total number of bytes read from DRAM
-system.mem_ctrls.bytesReadWrQ 47808 # Total number of bytes read from write queue
-system.mem_ctrls.bytesWritten 39936 # Total number of bytes written to DRAM
+system.mem_ctrls.bytesReadDRAM 41408 # Total number of bytes read from DRAM
+system.mem_ctrls.bytesReadWrQ 46720 # Total number of bytes read from write queue
+system.mem_ctrls.bytesWritten 41728 # Total number of bytes written to DRAM
system.mem_ctrls.bytesReadSys 88128 # Total read bytes from the system interface side
system.mem_ctrls.bytesWrittenSys 87872 # Total written bytes from the system interface side
-system.mem_ctrls.servicedByWrQ 747 # Number of DRAM read bursts serviced by the write queue
-system.mem_ctrls.mergedWrBursts 722 # Number of DRAM write bursts merged with an existing one
+system.mem_ctrls.servicedByWrQ 730 # Number of DRAM read bursts serviced by the write queue
+system.mem_ctrls.mergedWrBursts 702 # Number of DRAM write bursts merged with an existing one
system.mem_ctrls.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.mem_ctrls.perBankRdBursts::0 59 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::1 1 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::0 60 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::1 2 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::2 6 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::3 9 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::4 52 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::5 55 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::6 37 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::7 64 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::8 25 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::9 119 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::10 121 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::11 21 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::3 10 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::4 51 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::5 53 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::6 39 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::7 57 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::8 28 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::9 129 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::10 115 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::11 24 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::12 2 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::13 21 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::13 28 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::14 8 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::15 30 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::0 51 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::1 1 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::15 35 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::0 55 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::1 2 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::2 6 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::3 7 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::3 8 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::4 52 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::5 50 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::6 36 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::7 66 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::8 25 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::9 120 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::10 125 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::11 23 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::5 48 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::6 38 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::7 60 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::8 28 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::9 130 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::10 123 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::11 24 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::12 2 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::13 21 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::13 31 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::14 8 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::15 31 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::15 37 # Per bank write bursts
system.mem_ctrls.numRdRetry 0 # Number of times read queue was full causing retry
system.mem_ctrls.numWrRetry 0 # Number of times write queue was full causing retry
-system.mem_ctrls.totGap 87868 # Total gap between requests
+system.mem_ctrls.totGap 91773 # Total gap between requests
system.mem_ctrls.readPktSize::0 0 # Read request sizes (log2)
system.mem_ctrls.readPktSize::1 0 # Read request sizes (log2)
system.mem_ctrls.readPktSize::2 0 # Read request sizes (log2)
@@ -89,7 +89,7 @@ system.mem_ctrls.writePktSize::3 0 # Wr
system.mem_ctrls.writePktSize::4 0 # Write request sizes (log2)
system.mem_ctrls.writePktSize::5 0 # Write request sizes (log2)
system.mem_ctrls.writePktSize::6 1373 # Write request sizes (log2)
-system.mem_ctrls.rdQLenPdf::0 630 # What read queue length does an incoming req see
+system.mem_ctrls.rdQLenPdf::0 647 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::1 0 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::2 0 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::3 0 # What read queue length does an incoming req see
@@ -137,23 +137,23 @@ system.mem_ctrls.wrQLenPdf::12 1 # Wh
system.mem_ctrls.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::14 1 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::15 6 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::16 8 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::17 41 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::18 40 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::19 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::20 40 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::21 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::22 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::23 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::24 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::25 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::26 39 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::27 38 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::28 38 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::29 38 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::30 38 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::31 38 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::32 38 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::16 6 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::17 33 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::18 42 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::19 42 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::20 43 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::21 44 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::22 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::23 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::24 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::25 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::26 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::27 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::28 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::29 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::30 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::31 40 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::32 40 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::33 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::34 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::35 0 # What write queue length does an incoming req see
@@ -185,98 +185,108 @@ system.mem_ctrls.wrQLenPdf::60 0 # Wh
system.mem_ctrls.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.mem_ctrls.bytesPerActivate::samples 271 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::mean 293.313653 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::gmean 193.377642 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::stdev 283.497497 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::0-127 72 26.57% 26.57% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::128-255 83 30.63% 57.20% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::256-383 37 13.65% 70.85% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::384-511 23 8.49% 79.34% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::512-639 21 7.75% 87.08% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::640-767 5 1.85% 88.93% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::768-895 7 2.58% 91.51% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::896-1023 3 1.11% 92.62% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::1024-1151 20 7.38% 100.00% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::total 271 # Bytes accessed per row activation
-system.mem_ctrls.rdPerTurnAround::samples 38 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::mean 16.289474 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::gmean 16.048466 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::stdev 3.463383 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::12-13 1 2.63% 2.63% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::14-15 15 39.47% 42.11% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::16-17 16 42.11% 84.21% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::18-19 4 10.53% 94.74% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::20-21 1 2.63% 97.37% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::34-35 1 2.63% 100.00% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::total 38 # Reads before turning the bus around for writes
-system.mem_ctrls.wrPerTurnAround::samples 38 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::mean 16.421053 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::gmean 16.397539 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::stdev 0.919212 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::16 31 81.58% 81.58% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::18 5 13.16% 94.74% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::19 2 5.26% 100.00% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::total 38 # Writes before turning the bus around for reads
-system.mem_ctrls.totQLat 9303 # Total ticks spent queuing
-system.mem_ctrls.totMemAccLat 21273 # Total ticks spent from burst creation until serviced by the DRAM
-system.mem_ctrls.totBusLat 3150 # Total ticks spent in databus transfers
-system.mem_ctrls.avgQLat 14.77 # Average queueing delay per DRAM burst
+system.mem_ctrls.bytesPerActivate::samples 263 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::mean 304.669202 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::gmean 201.653389 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::stdev 284.735596 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::0-127 72 27.38% 27.38% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::128-255 68 25.86% 53.23% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::256-383 44 16.73% 69.96% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::384-511 29 11.03% 80.99% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::512-639 12 4.56% 85.55% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::640-767 9 3.42% 88.97% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::768-895 6 2.28% 91.25% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::896-1023 3 1.14% 92.40% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::1024-1151 20 7.60% 100.00% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::total 263 # Bytes accessed per row activation
+system.mem_ctrls.rdPerTurnAround::samples 40 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::mean 16.100000 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::gmean 15.846587 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::stdev 3.484765 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::12-13 3 7.50% 7.50% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::14-15 12 30.00% 37.50% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::16-17 19 47.50% 85.00% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::18-19 4 10.00% 95.00% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::20-21 1 2.50% 97.50% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::34-35 1 2.50% 100.00% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::total 40 # Reads before turning the bus around for writes
+system.mem_ctrls.wrPerTurnAround::samples 40 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::mean 16.300000 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::gmean 16.281263 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::stdev 0.822753 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::16 35 87.50% 87.50% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::18 3 7.50% 95.00% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::19 2 5.00% 100.00% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::total 40 # Writes before turning the bus around for reads
+system.mem_ctrls.totQLat 12721 # Total ticks spent queuing
+system.mem_ctrls.totMemAccLat 25014 # Total ticks spent from burst creation until serviced by the DRAM
+system.mem_ctrls.totBusLat 3235 # Total ticks spent in databus transfers
+system.mem_ctrls.avgQLat 19.66 # Average queueing delay per DRAM burst
system.mem_ctrls.avgBusLat 5.00 # Average bus latency per DRAM burst
-system.mem_ctrls.avgMemAccLat 33.77 # Average memory access latency per DRAM burst
-system.mem_ctrls.avgRdBW 458.45 # Average DRAM read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBW 454.09 # Average achieved write bandwidth in MiByte/s
-system.mem_ctrls.avgRdBWSys 1002.05 # Average system read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBWSys 999.14 # Average system write bandwidth in MiByte/s
+system.mem_ctrls.avgMemAccLat 38.66 # Average memory access latency per DRAM burst
+system.mem_ctrls.avgRdBW 450.78 # Average DRAM read bandwidth in MiByte/s
+system.mem_ctrls.avgWrBW 454.26 # Average achieved write bandwidth in MiByte/s
+system.mem_ctrls.avgRdBWSys 959.38 # Average system read bandwidth in MiByte/s
+system.mem_ctrls.avgWrBWSys 956.60 # Average system write bandwidth in MiByte/s
system.mem_ctrls.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.mem_ctrls.busUtil 7.13 # Data bus utilization in percentage
-system.mem_ctrls.busUtilRead 3.58 # Data bus utilization in percentage for reads
+system.mem_ctrls.busUtil 7.07 # Data bus utilization in percentage
+system.mem_ctrls.busUtilRead 3.52 # Data bus utilization in percentage for reads
system.mem_ctrls.busUtilWrite 3.55 # Data bus utilization in percentage for writes
system.mem_ctrls.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.mem_ctrls.avgWrQLen 25.04 # Average write queue length when enqueuing
-system.mem_ctrls.readRowHits 420 # Number of row buffer hits during reads
-system.mem_ctrls.writeRowHits 556 # Number of row buffer hits during writes
-system.mem_ctrls.readRowHitRate 66.67 # Row buffer hit rate for reads
-system.mem_ctrls.writeRowHitRate 85.41 # Row buffer hit rate for writes
-system.mem_ctrls.avgGap 31.95 # Average gap between requests
-system.mem_ctrls.pageHitRate 76.19 # Row buffer hit rate, read and write combined
-system.mem_ctrls_0.actEnergy 657720 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_0.preEnergy 365400 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_0.readEnergy 3407040 # Energy for read commands per rank (pJ)
-system.mem_ctrls_0.writeEnergy 2623104 # Energy for write commands per rank (pJ)
-system.mem_ctrls_0.refreshEnergy 5594160 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_0.actBackEnergy 51093432 # Energy for active background per rank (pJ)
-system.mem_ctrls_0.preBackEnergy 6724800 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_0.totalEnergy 70465656 # Total energy per rank (pJ)
-system.mem_ctrls_0.averagePower 820.264661 # Core power per rank (mW)
-system.mem_ctrls_0.memoryStateTime::IDLE 10886 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::REF 2860 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT 72174 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.mem_ctrls_1.actEnergy 1368360 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_1.preEnergy 760200 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_1.readEnergy 4268160 # Energy for read commands per rank (pJ)
-system.mem_ctrls_1.writeEnergy 3680640 # Energy for write commands per rank (pJ)
-system.mem_ctrls_1.refreshEnergy 5594160 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_1.actBackEnergy 54919728 # Energy for active background per rank (pJ)
-system.mem_ctrls_1.preBackEnergy 3368400 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_1.totalEnergy 73959648 # Total energy per rank (pJ)
-system.mem_ctrls_1.averagePower 860.936931 # Core power per rank (mW)
-system.mem_ctrls_1.memoryStateTime::IDLE 5575 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::REF 2860 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT 77782 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.mem_ctrls.avgWrQLen 25.84 # Average write queue length when enqueuing
+system.mem_ctrls.readRowHits 435 # Number of row buffer hits during reads
+system.mem_ctrls.writeRowHits 591 # Number of row buffer hits during writes
+system.mem_ctrls.readRowHitRate 67.23 # Row buffer hit rate for reads
+system.mem_ctrls.writeRowHitRate 88.08 # Row buffer hit rate for writes
+system.mem_ctrls.avgGap 33.37 # Average gap between requests
+system.mem_ctrls.pageHitRate 77.85 # Row buffer hit rate, read and write combined
+system.mem_ctrls_0.actEnergy 664020 # Energy for activate commands per rank (pJ)
+system.mem_ctrls_0.preEnergy 340032 # Energy for precharge commands per rank (pJ)
+system.mem_ctrls_0.readEnergy 3175872 # Energy for read commands per rank (pJ)
+system.mem_ctrls_0.writeEnergy 2246688 # Energy for write commands per rank (pJ)
+system.mem_ctrls_0.refreshEnergy 7375680.000000 # Energy for refresh commands per rank (pJ)
+system.mem_ctrls_0.actBackEnergy 10273224 # Energy for active background per rank (pJ)
+system.mem_ctrls_0.preBackEnergy 269568 # Energy for precharge background per rank (pJ)
+system.mem_ctrls_0.actPowerDownEnergy 25208136 # Energy for active power-down per rank (pJ)
+system.mem_ctrls_0.prePowerDownEnergy 4818816 # Energy for precharge power-down per rank (pJ)
+system.mem_ctrls_0.selfRefreshEnergy 743760.000000 # Energy for self refresh per rank (pJ)
+system.mem_ctrls_0.totalEnergy 55115796 # Total energy per rank (pJ)
+system.mem_ctrls_0.averagePower 600.004311 # Core power per rank (mW)
+system.mem_ctrls_0.totalIdleTime 68393 # Total Idle time Per DRAM Rank
+system.mem_ctrls_0.memoryStateTime::IDLE 346 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::REF 3126 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::SREF 798 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::PRE_PDN 12549 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::ACT 19759 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::ACT_PDN 55281 # Time in different power states
+system.mem_ctrls_1.actEnergy 1285200 # Energy for activate commands per rank (pJ)
+system.mem_ctrls_1.preEnergy 676200 # Energy for precharge commands per rank (pJ)
+system.mem_ctrls_1.readEnergy 4215456 # Energy for read commands per rank (pJ)
+system.mem_ctrls_1.writeEnergy 3198816 # Energy for write commands per rank (pJ)
+system.mem_ctrls_1.refreshEnergy 6761040.000000 # Energy for refresh commands per rank (pJ)
+system.mem_ctrls_1.actBackEnergy 9576912 # Energy for active background per rank (pJ)
+system.mem_ctrls_1.preBackEnergy 183552 # Energy for precharge background per rank (pJ)
+system.mem_ctrls_1.actPowerDownEnergy 28147512 # Energy for active power-down per rank (pJ)
+system.mem_ctrls_1.prePowerDownEnergy 3322368 # Energy for precharge power-down per rank (pJ)
+system.mem_ctrls_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.mem_ctrls_1.totalEnergy 57367056 # Total energy per rank (pJ)
+system.mem_ctrls_1.averagePower 624.512089 # Core power per rank (mW)
+system.mem_ctrls_1.totalIdleTime 70328 # Total Idle time Per DRAM Rank
+system.mem_ctrls_1.memoryStateTime::IDLE 150 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::REF 2866 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::SREF 0 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::PRE_PDN 8652 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::ACT 18464 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::ACT_PDN 61727 # Time in different power states
+system.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.cpu.clk_domain.clock 1 # Clock period in ticks
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.cpu.apic_clk_domain.clock 16 # Clock period in ticks
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.cpu.workload.num_syscalls 11 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 87948 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 87948 # number of cpu cycles simulated
+system.cpu.pwrStateResidencyTicks::ON 91859 # Cumulative time (in ticks) in various power states
+system.cpu.numCycles 91859 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.committedInsts 5381 # Number of instructions committed
@@ -297,7 +307,7 @@ system.cpu.num_mem_refs 1988 # nu
system.cpu.num_load_insts 1053 # Number of load instructions
system.cpu.num_store_insts 935 # Number of store instructions
system.cpu.num_idle_cycles 0.999989 # Number of idle cycles
-system.cpu.num_busy_cycles 87947.000011 # Number of busy cycles
+system.cpu.num_busy_cycles 91858.000011 # Number of busy cycles
system.cpu.not_idle_fraction 0.999989 # Percentage of non-idle cycles
system.cpu.idle_fraction 0.000011 # Percentage of idle cycles
system.cpu.Branches 1208 # Number of branches fetched
@@ -337,7 +347,7 @@ system.cpu.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu.op_class::total 9748 # Class of executed instruction
system.ruby.clk_domain.clock 1 # Clock period in ticks
-system.ruby.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.ruby.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.ruby.delayHist::bucket_size 1 # delay histogram for all message
system.ruby.delayHist::max_bucket 9 # delay histogram for all message
system.ruby.delayHist::samples 2750 # delay histogram for all message
@@ -353,10 +363,10 @@ system.ruby.outstanding_req_hist_seqr::total 8852
system.ruby.latency_hist_seqr::bucket_size 64
system.ruby.latency_hist_seqr::max_bucket 639
system.ruby.latency_hist_seqr::samples 8852
-system.ruby.latency_hist_seqr::mean 8.935382
-system.ruby.latency_hist_seqr::gmean 1.815175
-system.ruby.latency_hist_seqr::stdev 22.675647
-system.ruby.latency_hist_seqr | 8624 97.42% 97.42% | 191 2.16% 99.58% | 24 0.27% 99.85% | 5 0.06% 99.91% | 2 0.02% 99.93% | 6 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.latency_hist_seqr::mean 9.377203
+system.ruby.latency_hist_seqr::gmean 1.827971
+system.ruby.latency_hist_seqr::stdev 23.652747
+system.ruby.latency_hist_seqr | 8226 92.93% 92.93% | 589 6.65% 99.58% | 26 0.29% 99.88% | 4 0.05% 99.92% | 3 0.03% 99.95% | 4 0.05% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.latency_hist_seqr::total 8852
system.ruby.hit_latency_hist_seqr::bucket_size 1
system.ruby.hit_latency_hist_seqr::max_bucket 9
@@ -368,21 +378,21 @@ system.ruby.hit_latency_hist_seqr::total 7475
system.ruby.miss_latency_hist_seqr::bucket_size 64
system.ruby.miss_latency_hist_seqr::max_bucket 639
system.ruby.miss_latency_hist_seqr::samples 1377
-system.ruby.miss_latency_hist_seqr::mean 52.012346
-system.ruby.miss_latency_hist_seqr::gmean 46.179478
-system.ruby.miss_latency_hist_seqr::stdev 33.292581
-system.ruby.miss_latency_hist_seqr | 1149 83.44% 83.44% | 191 13.87% 97.31% | 24 1.74% 99.06% | 5 0.36% 99.42% | 2 0.15% 99.56% | 6 0.44% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.miss_latency_hist_seqr::mean 54.852578
+system.ruby.miss_latency_hist_seqr::gmean 48.312712
+system.ruby.miss_latency_hist_seqr::stdev 33.880423
+system.ruby.miss_latency_hist_seqr | 751 54.54% 54.54% | 589 42.77% 97.31% | 26 1.89% 99.20% | 4 0.29% 99.49% | 3 0.22% 99.71% | 4 0.29% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.miss_latency_hist_seqr::total 1377
system.ruby.Directory.incomplete_times_seqr 1376
-system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.ruby.l1_cntrl0.cacheMemory.demand_hits 7475 # Number of cache demand hits
system.ruby.l1_cntrl0.cacheMemory.demand_misses 1377 # Number of cache demand misses
system.ruby.l1_cntrl0.cacheMemory.demand_accesses 8852 # Number of cache demand accesses
-system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.ruby.memctrl_clk_domain.clock 3 # Clock period in ticks
-system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.percent_links_utilized 7.817119
+system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers0.percent_links_utilized 7.484297
system.ruby.network.routers0.msg_count.Control::2 1377
system.ruby.network.routers0.msg_count.Data::2 1373
system.ruby.network.routers0.msg_count.Response_Data::4 1377
@@ -391,8 +401,8 @@ system.ruby.network.routers0.msg_bytes.Control::2 11016
system.ruby.network.routers0.msg_bytes.Data::2 98856
system.ruby.network.routers0.msg_bytes.Response_Data::4 99144
system.ruby.network.routers0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers1.percent_links_utilized 7.817119
+system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers1.percent_links_utilized 7.484297
system.ruby.network.routers1.msg_count.Control::2 1377
system.ruby.network.routers1.msg_count.Data::2 1373
system.ruby.network.routers1.msg_count.Response_Data::4 1377
@@ -401,8 +411,8 @@ system.ruby.network.routers1.msg_bytes.Control::2 11016
system.ruby.network.routers1.msg_bytes.Data::2 98856
system.ruby.network.routers1.msg_bytes.Response_Data::4 99144
system.ruby.network.routers1.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers2.percent_links_utilized 7.817119
+system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers2.percent_links_utilized 7.484297
system.ruby.network.routers2.msg_count.Control::2 1377
system.ruby.network.routers2.msg_count.Data::2 1373
system.ruby.network.routers2.msg_count.Response_Data::4 1377
@@ -411,7 +421,7 @@ system.ruby.network.routers2.msg_bytes.Control::2 11016
system.ruby.network.routers2.msg_bytes.Data::2 98856
system.ruby.network.routers2.msg_bytes.Response_Data::4 99144
system.ruby.network.routers2.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
+system.ruby.network.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
system.ruby.network.msg_count.Control 4131
system.ruby.network.msg_count.Data 4119
system.ruby.network.msg_count.Response_Data 4131
@@ -420,33 +430,33 @@ system.ruby.network.msg_byte.Control 33048
system.ruby.network.msg_byte.Data 296568
system.ruby.network.msg_byte.Response_Data 297432
system.ruby.network.msg_byte.Writeback_Control 32952
-system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 87948 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.throttle0.link_utilization 7.826215
+system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 91859 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers0.throttle0.link_utilization 7.493006
system.ruby.network.routers0.throttle0.msg_count.Response_Data::4 1377
system.ruby.network.routers0.throttle0.msg_count.Writeback_Control::3 1373
system.ruby.network.routers0.throttle0.msg_bytes.Response_Data::4 99144
system.ruby.network.routers0.throttle0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers0.throttle1.link_utilization 7.808023
+system.ruby.network.routers0.throttle1.link_utilization 7.475588
system.ruby.network.routers0.throttle1.msg_count.Control::2 1377
system.ruby.network.routers0.throttle1.msg_count.Data::2 1373
system.ruby.network.routers0.throttle1.msg_bytes.Control::2 11016
system.ruby.network.routers0.throttle1.msg_bytes.Data::2 98856
-system.ruby.network.routers1.throttle0.link_utilization 7.808023
+system.ruby.network.routers1.throttle0.link_utilization 7.475588
system.ruby.network.routers1.throttle0.msg_count.Control::2 1377
system.ruby.network.routers1.throttle0.msg_count.Data::2 1373
system.ruby.network.routers1.throttle0.msg_bytes.Control::2 11016
system.ruby.network.routers1.throttle0.msg_bytes.Data::2 98856
-system.ruby.network.routers1.throttle1.link_utilization 7.826215
+system.ruby.network.routers1.throttle1.link_utilization 7.493006
system.ruby.network.routers1.throttle1.msg_count.Response_Data::4 1377
system.ruby.network.routers1.throttle1.msg_count.Writeback_Control::3 1373
system.ruby.network.routers1.throttle1.msg_bytes.Response_Data::4 99144
system.ruby.network.routers1.throttle1.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers2.throttle0.link_utilization 7.826215
+system.ruby.network.routers2.throttle0.link_utilization 7.493006
system.ruby.network.routers2.throttle0.msg_count.Response_Data::4 1377
system.ruby.network.routers2.throttle0.msg_count.Writeback_Control::3 1373
system.ruby.network.routers2.throttle0.msg_bytes.Response_Data::4 99144
system.ruby.network.routers2.throttle0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers2.throttle1.link_utilization 7.808023
+system.ruby.network.routers2.throttle1.link_utilization 7.475588
system.ruby.network.routers2.throttle1.msg_count.Control::2 1377
system.ruby.network.routers2.throttle1.msg_count.Data::2 1373
system.ruby.network.routers2.throttle1.msg_bytes.Control::2 11016
@@ -464,10 +474,10 @@ system.ruby.delayVCHist.vnet_2::total 1373 # de
system.ruby.LD.latency_hist_seqr::bucket_size 32
system.ruby.LD.latency_hist_seqr::max_bucket 319
system.ruby.LD.latency_hist_seqr::samples 1045
-system.ruby.LD.latency_hist_seqr::mean 22.607656
-system.ruby.LD.latency_hist_seqr::gmean 5.952637
-system.ruby.LD.latency_hist_seqr::stdev 28.358291
-system.ruby.LD.latency_hist_seqr | 546 52.25% 52.25% | 420 40.19% 92.44% | 70 6.70% 99.14% | 2 0.19% 99.33% | 2 0.19% 99.52% | 4 0.38% 99.90% | 0 0.00% 99.90% | 1 0.10% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.latency_hist_seqr::mean 23.607656
+system.ruby.LD.latency_hist_seqr::gmean 6.057935
+system.ruby.LD.latency_hist_seqr::stdev 29.475705
+system.ruby.LD.latency_hist_seqr | 546 52.25% 52.25% | 330 31.58% 83.83% | 162 15.50% 99.33% | 1 0.10% 99.43% | 4 0.38% 99.81% | 1 0.10% 99.90% | 0 0.00% 99.90% | 1 0.10% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.latency_hist_seqr::total 1045
system.ruby.LD.hit_latency_hist_seqr::bucket_size 1
system.ruby.LD.hit_latency_hist_seqr::max_bucket 9
@@ -479,18 +489,18 @@ system.ruby.LD.hit_latency_hist_seqr::total 546
system.ruby.LD.miss_latency_hist_seqr::bucket_size 32
system.ruby.LD.miss_latency_hist_seqr::max_bucket 319
system.ruby.LD.miss_latency_hist_seqr::samples 499
-system.ruby.LD.miss_latency_hist_seqr::mean 46.250501
-system.ruby.LD.miss_latency_hist_seqr::gmean 41.916728
-system.ruby.LD.miss_latency_hist_seqr::stdev 24.776985
-system.ruby.LD.miss_latency_hist_seqr | 0 0.00% 0.00% | 420 84.17% 84.17% | 70 14.03% 98.20% | 2 0.40% 98.60% | 2 0.40% 99.00% | 4 0.80% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.miss_latency_hist_seqr::mean 48.344689
+system.ruby.LD.miss_latency_hist_seqr::gmean 43.484561
+system.ruby.LD.miss_latency_hist_seqr::stdev 25.453032
+system.ruby.LD.miss_latency_hist_seqr | 0 0.00% 0.00% | 330 66.13% 66.13% | 162 32.46% 98.60% | 1 0.20% 98.80% | 4 0.80% 99.60% | 1 0.20% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.miss_latency_hist_seqr::total 499
system.ruby.ST.latency_hist_seqr::bucket_size 64
system.ruby.ST.latency_hist_seqr::max_bucket 639
system.ruby.ST.latency_hist_seqr::samples 935
-system.ruby.ST.latency_hist_seqr::mean 15.124064
-system.ruby.ST.latency_hist_seqr::gmean 2.829099
-system.ruby.ST.latency_hist_seqr::stdev 31.003309
-system.ruby.ST.latency_hist_seqr | 897 95.94% 95.94% | 28 2.99% 98.93% | 5 0.53% 99.47% | 3 0.32% 99.79% | 0 0.00% 99.79% | 2 0.21% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.latency_hist_seqr::mean 16.455615
+system.ruby.ST.latency_hist_seqr::gmean 2.877223
+system.ruby.ST.latency_hist_seqr::stdev 34.720603
+system.ruby.ST.latency_hist_seqr | 821 87.81% 87.81% | 102 10.91% 98.72% | 6 0.64% 99.36% | 2 0.21% 99.57% | 2 0.21% 99.79% | 2 0.21% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.ST.latency_hist_seqr::total 935
system.ruby.ST.hit_latency_hist_seqr::bucket_size 1
system.ruby.ST.hit_latency_hist_seqr::max_bucket 9
@@ -502,18 +512,18 @@ system.ruby.ST.hit_latency_hist_seqr::total 681
system.ruby.ST.miss_latency_hist_seqr::bucket_size 64
system.ruby.ST.miss_latency_hist_seqr::max_bucket 639
system.ruby.ST.miss_latency_hist_seqr::samples 254
-system.ruby.ST.miss_latency_hist_seqr::mean 52.992126
-system.ruby.ST.miss_latency_hist_seqr::gmean 45.979346
-system.ruby.ST.miss_latency_hist_seqr::stdev 39.646660
-system.ruby.ST.miss_latency_hist_seqr | 216 85.04% 85.04% | 28 11.02% 96.06% | 5 1.97% 98.03% | 3 1.18% 99.21% | 0 0.00% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.miss_latency_hist_seqr::mean 57.893701
+system.ruby.ST.miss_latency_hist_seqr::gmean 48.924758
+system.ruby.ST.miss_latency_hist_seqr::stdev 45.645746
+system.ruby.ST.miss_latency_hist_seqr | 140 55.12% 55.12% | 102 40.16% 95.28% | 6 2.36% 97.64% | 2 0.79% 98.43% | 2 0.79% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.ST.miss_latency_hist_seqr::total 254
system.ruby.IFETCH.latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.latency_hist_seqr::samples 6864
-system.ruby.IFETCH.latency_hist_seqr::mean 6.015589
-system.ruby.IFETCH.latency_hist_seqr::gmean 1.426336
-system.ruby.IFETCH.latency_hist_seqr::stdev 19.173758
-system.ruby.IFETCH.latency_hist_seqr | 6753 98.38% 98.38% | 91 1.33% 99.71% | 13 0.19% 99.90% | 1 0.01% 99.91% | 2 0.03% 99.94% | 4 0.06% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.latency_hist_seqr::mean 6.251748
+system.ruby.IFETCH.latency_hist_seqr::gmean 1.432185
+system.ruby.IFETCH.latency_hist_seqr::stdev 19.434647
+system.ruby.IFETCH.latency_hist_seqr | 6521 95.00% 95.00% | 324 4.72% 99.72% | 15 0.22% 99.94% | 1 0.01% 99.96% | 1 0.01% 99.97% | 2 0.03% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.latency_hist_seqr::total 6864
system.ruby.IFETCH.hit_latency_hist_seqr::bucket_size 1
system.ruby.IFETCH.hit_latency_hist_seqr::max_bucket 9
@@ -525,10 +535,10 @@ system.ruby.IFETCH.hit_latency_hist_seqr::total 6241
system.ruby.IFETCH.miss_latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.miss_latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.miss_latency_hist_seqr::samples 623
-system.ruby.IFETCH.miss_latency_hist_seqr::mean 56.260032
-system.ruby.IFETCH.miss_latency_hist_seqr::gmean 50.022291
-system.ruby.IFETCH.miss_latency_hist_seqr::stdev 35.712767
-system.ruby.IFETCH.miss_latency_hist_seqr | 512 82.18% 82.18% | 91 14.61% 96.79% | 13 2.09% 98.88% | 1 0.16% 99.04% | 2 0.32% 99.36% | 4 0.64% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.miss_latency_hist_seqr::mean 58.861958
+system.ruby.IFETCH.miss_latency_hist_seqr::gmean 52.329270
+system.ruby.IFETCH.miss_latency_hist_seqr::stdev 33.443818
+system.ruby.IFETCH.miss_latency_hist_seqr | 280 44.94% 44.94% | 324 52.01% 96.95% | 15 2.41% 99.36% | 1 0.16% 99.52% | 1 0.16% 99.68% | 2 0.32% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.miss_latency_hist_seqr::total 623
system.ruby.RMW_Read.latency_hist_seqr::bucket_size 4
system.ruby.RMW_Read.latency_hist_seqr::max_bucket 39
@@ -556,10 +566,10 @@ system.ruby.RMW_Read.miss_latency_hist_seqr::total 1
system.ruby.Directory.miss_mach_latency_hist_seqr::bucket_size 64
system.ruby.Directory.miss_mach_latency_hist_seqr::max_bucket 639
system.ruby.Directory.miss_mach_latency_hist_seqr::samples 1377
-system.ruby.Directory.miss_mach_latency_hist_seqr::mean 52.012346
-system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 46.179478
-system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 33.292581
-system.ruby.Directory.miss_mach_latency_hist_seqr | 1149 83.44% 83.44% | 191 13.87% 97.31% | 24 1.74% 99.06% | 5 0.36% 99.42% | 2 0.15% 99.56% | 6 0.44% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.Directory.miss_mach_latency_hist_seqr::mean 54.852578
+system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 48.312712
+system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 33.880423
+system.ruby.Directory.miss_mach_latency_hist_seqr | 751 54.54% 54.54% | 589 42.77% 97.31% | 26 1.89% 99.20% | 4 0.29% 99.49% | 3 0.22% 99.71% | 4 0.29% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.Directory.miss_mach_latency_hist_seqr::total 1377
system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::bucket_size 1
system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::max_bucket 9
@@ -590,26 +600,26 @@ system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::total
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::bucket_size 32
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::max_bucket 319
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::samples 499
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 46.250501
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 41.916728
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 24.776985
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 420 84.17% 84.17% | 70 14.03% 98.20% | 2 0.40% 98.60% | 2 0.40% 99.00% | 4 0.80% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 48.344689
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 43.484561
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 25.453032
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 330 66.13% 66.13% | 162 32.46% 98.60% | 1 0.20% 98.80% | 4 0.80% 99.60% | 1 0.20% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::total 499
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::samples 254
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 52.992126
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 45.979346
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 39.646660
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 216 85.04% 85.04% | 28 11.02% 96.06% | 5 1.97% 98.03% | 3 1.18% 99.21% | 0 0.00% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 57.893701
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 48.924758
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 45.645746
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 140 55.12% 55.12% | 102 40.16% 95.28% | 6 2.36% 97.64% | 2 0.79% 98.43% | 2 0.79% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::total 254
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::samples 623
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 56.260032
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 50.022291
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 35.712767
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 512 82.18% 82.18% | 91 14.61% 96.79% | 13 2.09% 98.88% | 1 0.16% 99.04% | 2 0.32% 99.36% | 4 0.64% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 58.861958
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 52.329270
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 33.443818
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 280 44.94% 44.94% | 324 52.01% 96.95% | 15 2.41% 99.36% | 1 0.16% 99.52% | 1 0.16% 99.68% | 2 0.32% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::total 623
system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::bucket_size 4
system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::max_bucket 39