summaryrefslogtreecommitdiff
path: root/tests/quick/se/00.hello/ref/x86/linux
diff options
context:
space:
mode:
Diffstat (limited to 'tests/quick/se/00.hello/ref/x86/linux')
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini924
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/o3-timing/simout13
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt995
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini263
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout13
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt145
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini1319
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr11
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout13
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt707
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini432
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing/simout13
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt501
16 files changed, 0 insertions, 5359 deletions
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
deleted file mode 100644
index b5dc4aa3b..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
+++ /dev/null
@@ -1,924 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-kvm_vm=Null
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=DerivO3CPU
-children=apic_clk_domain branchPred dcache dtb fuPool icache interrupts isa itb l2cache toL2Bus tracer workload
-LFSTSize=1024
-LQEntries=32
-LSQCheckLoads=true
-LSQDepCheckShift=4
-SQEntries=32
-SSITSize=1024
-activity=0
-backComSize=5
-branchPred=system.cpu.branchPred
-cacheStorePorts=200
-checker=Null
-clk_domain=system.cpu_clk_domain
-commitToDecodeDelay=1
-commitToFetchDelay=1
-commitToIEWDelay=1
-commitToRenameDelay=1
-commitWidth=8
-cpu_id=0
-decodeToFetchDelay=1
-decodeToRenameDelay=1
-decodeWidth=8
-default_p_state=UNDEFINED
-dispatchWidth=8
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-fetchBufferSize=64
-fetchQueueSize=32
-fetchToDecodeDelay=1
-fetchTrapLatency=1
-fetchWidth=8
-forwardComSize=5
-fuPool=system.cpu.fuPool
-function_trace=false
-function_trace_start=0
-iewToCommitDelay=1
-iewToDecodeDelay=1
-iewToFetchDelay=1
-iewToRenameDelay=1
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-issueToExecuteDelay=1
-issueWidth=8
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-needsTSO=true
-numIQEntries=64
-numPhysCCRegs=1280
-numPhysFloatRegs=256
-numPhysIntRegs=256
-numROBEntries=192
-numRobs=1
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-renameToDecodeDelay=1
-renameToFetchDelay=1
-renameToIEWDelay=2
-renameToROBDelay=1
-renameWidth=8
-simpoint_start_insts=
-smtCommitPolicy=RoundRobin
-smtFetchPolicy=SingleThread
-smtIQPolicy=Partitioned
-smtIQThreshold=100
-smtLSQPolicy=Partitioned
-smtLSQThreshold=100
-smtNumFetchingThreads=1
-smtROBPolicy=Partitioned
-smtROBThreshold=100
-socket_id=0
-squashWidth=8
-store_set_clear_period=250000
-switched_out=false
-syscallRetryLatency=10000
-system=system
-tracer=system.cpu.tracer
-trapLatency=13
-wbWidth=8
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.apic_clk_domain]
-type=DerivedClockDomain
-clk_divider=16
-clk_domain=system.cpu_clk_domain
-eventq_index=0
-
-[system.cpu.branchPred]
-type=TournamentBP
-BTBEntries=4096
-BTBTagSize=16
-RASSize=16
-choiceCtrBits=2
-choicePredictorSize=8192
-eventq_index=0
-globalCtrBits=2
-globalPredictorSize=8192
-indirectHashGHR=true
-indirectHashTargets=true
-indirectPathLength=3
-indirectSets=256
-indirectTagSize=16
-indirectWays=2
-instShiftAmt=2
-localCtrBits=2
-localHistoryTableSize=2048
-localPredictorSize=2048
-numThreads=1
-useIndirect=true
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tag_latency=2
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-tag_latency=2
-
-[system.cpu.dtb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.dtb.walker
-
-[system.cpu.dtb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.cpu.toL2Bus.slave[3]
-
-[system.cpu.fuPool]
-type=FUPool
-children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
-FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
-eventq_index=0
-
-[system.cpu.fuPool.FUList0]
-type=FUDesc
-children=opList
-count=6
-eventq_index=0
-opList=system.cpu.fuPool.FUList0.opList
-
-[system.cpu.fuPool.FUList0.opList]
-type=OpDesc
-eventq_index=0
-opClass=IntAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList1]
-type=FUDesc
-children=opList0 opList1
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
-
-[system.cpu.fuPool.FUList1.opList0]
-type=OpDesc
-eventq_index=0
-opClass=IntMult
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList1.opList1]
-type=OpDesc
-eventq_index=0
-opClass=IntDiv
-opLat=1
-pipelined=false
-
-[system.cpu.fuPool.FUList2]
-type=FUDesc
-children=opList0 opList1 opList2
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
-
-[system.cpu.fuPool.FUList2.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatAdd
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatCmp
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatCvt
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList3]
-type=FUDesc
-children=opList0 opList1 opList2 opList3 opList4
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2 system.cpu.fuPool.FUList3.opList3 system.cpu.fuPool.FUList3.opList4
-
-[system.cpu.fuPool.FUList3.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatMult
-opLat=4
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMultAcc
-opLat=5
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMisc
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatDiv
-opLat=12
-pipelined=false
-
-[system.cpu.fuPool.FUList3.opList4]
-type=OpDesc
-eventq_index=0
-opClass=FloatSqrt
-opLat=24
-pipelined=false
-
-[system.cpu.fuPool.FUList4]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList4.opList0 system.cpu.fuPool.FUList4.opList1
-
-[system.cpu.fuPool.FUList4.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList4.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5]
-type=FUDesc
-children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
-
-[system.cpu.fuPool.FUList5.opList00]
-type=OpDesc
-eventq_index=0
-opClass=SimdAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList01]
-type=OpDesc
-eventq_index=0
-opClass=SimdAddAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList02]
-type=OpDesc
-eventq_index=0
-opClass=SimdAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList03]
-type=OpDesc
-eventq_index=0
-opClass=SimdCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList04]
-type=OpDesc
-eventq_index=0
-opClass=SimdCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList05]
-type=OpDesc
-eventq_index=0
-opClass=SimdMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList06]
-type=OpDesc
-eventq_index=0
-opClass=SimdMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList07]
-type=OpDesc
-eventq_index=0
-opClass=SimdMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList08]
-type=OpDesc
-eventq_index=0
-opClass=SimdShift
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList09]
-type=OpDesc
-eventq_index=0
-opClass=SimdShiftAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList10]
-type=OpDesc
-eventq_index=0
-opClass=SimdSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList11]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList12]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList13]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList14]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList15]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatDiv
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList16]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList17]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList18]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList19]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList6.opList0 system.cpu.fuPool.FUList6.opList1
-
-[system.cpu.fuPool.FUList6.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7]
-type=FUDesc
-children=opList0 opList1 opList2 opList3
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1 system.cpu.fuPool.FUList7.opList2 system.cpu.fuPool.FUList7.opList3
-
-[system.cpu.fuPool.FUList7.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList1]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList8]
-type=FUDesc
-children=opList
-count=1
-eventq_index=0
-opList=system.cpu.fuPool.FUList8.opList
-
-[system.cpu.fuPool.FUList8.opList]
-type=OpDesc
-eventq_index=0
-opClass=IprAccess
-opLat=3
-pipelined=false
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tag_latency=2
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-tag_latency=2
-
-[system.cpu.interrupts]
-type=X86LocalApic
-clk_domain=system.cpu.apic_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-int_latency=1000
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-pio_addr=2305843009213693952
-pio_latency=100000
-power_model=Null
-system=system
-int_master=system.membus.slave[2]
-int_slave=system.membus.master[2]
-pio=system.membus.master[1]
-
-[system.cpu.isa]
-type=X86ISA
-eventq_index=0
-
-[system.cpu.itb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.itb.walker
-
-[system.cpu.itb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.cpu.toL2Bus.slave[2]
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=20
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tag_latency=20
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=20
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-tag_latency=20
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.itb.walker.port system.cpu.dtb.walker.port
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=100
-ppid=0
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port system.cpu.interrupts.pio system.cpu.interrupts.int_slave
-slave=system.system_port system.cpu.l2cache.mem_side system.cpu.interrupts.int_master
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:134217727:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr
deleted file mode 100755
index 707fed98b..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr
+++ /dev/null
@@ -1,4 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (128 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-info: Entering event queue @ 0. Starting simulation...
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
deleted file mode 100755
index d96836b29..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
+++ /dev/null
@@ -1,13 +0,0 @@
-Redirecting stdout to build/X86/tests/opt/quick/se/00.hello/x86/linux/o3-timing/simout
-Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/o3-timing/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Apr 3 2017 19:05:53
-gem5 started Apr 3 2017 19:06:21
-gem5 executing on gabeblack-desktop.mtv.corp.google.com, pid 87180
-command line: /usr/local/google/home/gabeblack/gem5/gem5-public/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/o3-timing --stats-file 'text://stats.txt?desc=False' -re /usr/local/google/home/gabeblack/gem5/gem5-public/tests/testing/../run.py quick/se/00.hello/x86/linux/o3-timing
-
-Global frequency set at 1000000000000 ticks per second
-Hello world!
-Exiting @ tick 22516500 because exiting with last active thread context
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
deleted file mode 100644
index f96155fcc..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
+++ /dev/null
@@ -1,995 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000023
-sim_ticks 22516500
-final_tick 22516500
-sim_freq 1000000000000
-host_inst_rate 26720
-host_op_rate 48405
-host_tick_rate 111808950
-host_mem_usage 281880
-host_seconds 0.20
-sim_insts 5380
-sim_ops 9747
-system.voltage_domain.voltage 1
-system.clk_domain.clock 1000
-system.physmem.pwrStateResidencyTicks::UNDEFINED 22516500
-system.physmem.bytes_read::cpu.inst 17728
-system.physmem.bytes_read::cpu.data 8960
-system.physmem.bytes_read::total 26688
-system.physmem.bytes_inst_read::cpu.inst 17728
-system.physmem.bytes_inst_read::total 17728
-system.physmem.num_reads::cpu.inst 277
-system.physmem.num_reads::cpu.data 140
-system.physmem.num_reads::total 417
-system.physmem.bw_read::cpu.inst 787333733
-system.physmem.bw_read::cpu.data 397930407
-system.physmem.bw_read::total 1185264140
-system.physmem.bw_inst_read::cpu.inst 787333733
-system.physmem.bw_inst_read::total 787333733
-system.physmem.bw_total::cpu.inst 787333733
-system.physmem.bw_total::cpu.data 397930407
-system.physmem.bw_total::total 1185264140
-system.physmem.readReqs 417
-system.physmem.writeReqs 0
-system.physmem.readBursts 417
-system.physmem.writeBursts 0
-system.physmem.bytesReadDRAM 26688
-system.physmem.bytesReadWrQ 0
-system.physmem.bytesWritten 0
-system.physmem.bytesReadSys 26688
-system.physmem.bytesWrittenSys 0
-system.physmem.servicedByWrQ 0
-system.physmem.mergedWrBursts 0
-system.physmem.neitherReadNorWriteReqs 0
-system.physmem.perBankRdBursts::0 31
-system.physmem.perBankRdBursts::1 1
-system.physmem.perBankRdBursts::2 5
-system.physmem.perBankRdBursts::3 8
-system.physmem.perBankRdBursts::4 51
-system.physmem.perBankRdBursts::5 44
-system.physmem.perBankRdBursts::6 21
-system.physmem.perBankRdBursts::7 36
-system.physmem.perBankRdBursts::8 24
-system.physmem.perBankRdBursts::9 71
-system.physmem.perBankRdBursts::10 64
-system.physmem.perBankRdBursts::11 16
-system.physmem.perBankRdBursts::12 2
-system.physmem.perBankRdBursts::13 20
-system.physmem.perBankRdBursts::14 6
-system.physmem.perBankRdBursts::15 17
-system.physmem.perBankWrBursts::0 0
-system.physmem.perBankWrBursts::1 0
-system.physmem.perBankWrBursts::2 0
-system.physmem.perBankWrBursts::3 0
-system.physmem.perBankWrBursts::4 0
-system.physmem.perBankWrBursts::5 0
-system.physmem.perBankWrBursts::6 0
-system.physmem.perBankWrBursts::7 0
-system.physmem.perBankWrBursts::8 0
-system.physmem.perBankWrBursts::9 0
-system.physmem.perBankWrBursts::10 0
-system.physmem.perBankWrBursts::11 0
-system.physmem.perBankWrBursts::12 0
-system.physmem.perBankWrBursts::13 0
-system.physmem.perBankWrBursts::14 0
-system.physmem.perBankWrBursts::15 0
-system.physmem.numRdRetry 0
-system.physmem.numWrRetry 0
-system.physmem.totGap 22387500
-system.physmem.readPktSize::0 0
-system.physmem.readPktSize::1 0
-system.physmem.readPktSize::2 0
-system.physmem.readPktSize::3 0
-system.physmem.readPktSize::4 0
-system.physmem.readPktSize::5 0
-system.physmem.readPktSize::6 417
-system.physmem.writePktSize::0 0
-system.physmem.writePktSize::1 0
-system.physmem.writePktSize::2 0
-system.physmem.writePktSize::3 0
-system.physmem.writePktSize::4 0
-system.physmem.writePktSize::5 0
-system.physmem.writePktSize::6 0
-system.physmem.rdQLenPdf::0 242
-system.physmem.rdQLenPdf::1 128
-system.physmem.rdQLenPdf::2 37
-system.physmem.rdQLenPdf::3 9
-system.physmem.rdQLenPdf::4 1
-system.physmem.rdQLenPdf::5 0
-system.physmem.rdQLenPdf::6 0
-system.physmem.rdQLenPdf::7 0
-system.physmem.rdQLenPdf::8 0
-system.physmem.rdQLenPdf::9 0
-system.physmem.rdQLenPdf::10 0
-system.physmem.rdQLenPdf::11 0
-system.physmem.rdQLenPdf::12 0
-system.physmem.rdQLenPdf::13 0
-system.physmem.rdQLenPdf::14 0
-system.physmem.rdQLenPdf::15 0
-system.physmem.rdQLenPdf::16 0
-system.physmem.rdQLenPdf::17 0
-system.physmem.rdQLenPdf::18 0
-system.physmem.rdQLenPdf::19 0
-system.physmem.rdQLenPdf::20 0
-system.physmem.rdQLenPdf::21 0
-system.physmem.rdQLenPdf::22 0
-system.physmem.rdQLenPdf::23 0
-system.physmem.rdQLenPdf::24 0
-system.physmem.rdQLenPdf::25 0
-system.physmem.rdQLenPdf::26 0
-system.physmem.rdQLenPdf::27 0
-system.physmem.rdQLenPdf::28 0
-system.physmem.rdQLenPdf::29 0
-system.physmem.rdQLenPdf::30 0
-system.physmem.rdQLenPdf::31 0
-system.physmem.wrQLenPdf::0 0
-system.physmem.wrQLenPdf::1 0
-system.physmem.wrQLenPdf::2 0
-system.physmem.wrQLenPdf::3 0
-system.physmem.wrQLenPdf::4 0
-system.physmem.wrQLenPdf::5 0
-system.physmem.wrQLenPdf::6 0
-system.physmem.wrQLenPdf::7 0
-system.physmem.wrQLenPdf::8 0
-system.physmem.wrQLenPdf::9 0
-system.physmem.wrQLenPdf::10 0
-system.physmem.wrQLenPdf::11 0
-system.physmem.wrQLenPdf::12 0
-system.physmem.wrQLenPdf::13 0
-system.physmem.wrQLenPdf::14 0
-system.physmem.wrQLenPdf::15 0
-system.physmem.wrQLenPdf::16 0
-system.physmem.wrQLenPdf::17 0
-system.physmem.wrQLenPdf::18 0
-system.physmem.wrQLenPdf::19 0
-system.physmem.wrQLenPdf::20 0
-system.physmem.wrQLenPdf::21 0
-system.physmem.wrQLenPdf::22 0
-system.physmem.wrQLenPdf::23 0
-system.physmem.wrQLenPdf::24 0
-system.physmem.wrQLenPdf::25 0
-system.physmem.wrQLenPdf::26 0
-system.physmem.wrQLenPdf::27 0
-system.physmem.wrQLenPdf::28 0
-system.physmem.wrQLenPdf::29 0
-system.physmem.wrQLenPdf::30 0
-system.physmem.wrQLenPdf::31 0
-system.physmem.wrQLenPdf::32 0
-system.physmem.wrQLenPdf::33 0
-system.physmem.wrQLenPdf::34 0
-system.physmem.wrQLenPdf::35 0
-system.physmem.wrQLenPdf::36 0
-system.physmem.wrQLenPdf::37 0
-system.physmem.wrQLenPdf::38 0
-system.physmem.wrQLenPdf::39 0
-system.physmem.wrQLenPdf::40 0
-system.physmem.wrQLenPdf::41 0
-system.physmem.wrQLenPdf::42 0
-system.physmem.wrQLenPdf::43 0
-system.physmem.wrQLenPdf::44 0
-system.physmem.wrQLenPdf::45 0
-system.physmem.wrQLenPdf::46 0
-system.physmem.wrQLenPdf::47 0
-system.physmem.wrQLenPdf::48 0
-system.physmem.wrQLenPdf::49 0
-system.physmem.wrQLenPdf::50 0
-system.physmem.wrQLenPdf::51 0
-system.physmem.wrQLenPdf::52 0
-system.physmem.wrQLenPdf::53 0
-system.physmem.wrQLenPdf::54 0
-system.physmem.wrQLenPdf::55 0
-system.physmem.wrQLenPdf::56 0
-system.physmem.wrQLenPdf::57 0
-system.physmem.wrQLenPdf::58 0
-system.physmem.wrQLenPdf::59 0
-system.physmem.wrQLenPdf::60 0
-system.physmem.wrQLenPdf::61 0
-system.physmem.wrQLenPdf::62 0
-system.physmem.wrQLenPdf::63 0
-system.physmem.bytesPerActivate::samples 98
-system.physmem.bytesPerActivate::mean 239.673469
-system.physmem.bytesPerActivate::gmean 154.283411
-system.physmem.bytesPerActivate::stdev 255.721287
-system.physmem.bytesPerActivate::0-127 41 41.84% 41.84%
-system.physmem.bytesPerActivate::128-255 22 22.45% 64.29%
-system.physmem.bytesPerActivate::256-383 16 16.33% 80.61%
-system.physmem.bytesPerActivate::384-511 7 7.14% 87.76%
-system.physmem.bytesPerActivate::512-639 1 1.02% 88.78%
-system.physmem.bytesPerActivate::640-767 3 3.06% 91.84%
-system.physmem.bytesPerActivate::768-895 2 2.04% 93.88%
-system.physmem.bytesPerActivate::896-1023 2 2.04% 95.92%
-system.physmem.bytesPerActivate::1024-1151 4 4.08% 100.00%
-system.physmem.bytesPerActivate::total 98
-system.physmem.totQLat 6651000
-system.physmem.totMemAccLat 14469750
-system.physmem.totBusLat 2085000
-system.physmem.avgQLat 15949.64
-system.physmem.avgBusLat 5000.00
-system.physmem.avgMemAccLat 34699.64
-system.physmem.avgRdBW 1185.26
-system.physmem.avgWrBW 0.00
-system.physmem.avgRdBWSys 1185.26
-system.physmem.avgWrBWSys 0.00
-system.physmem.peakBW 12800.00
-system.physmem.busUtil 9.26
-system.physmem.busUtilRead 9.26
-system.physmem.busUtilWrite 0.00
-system.physmem.avgRdQLen 1.67
-system.physmem.avgWrQLen 0.00
-system.physmem.readRowHits 307
-system.physmem.writeRowHits 0
-system.physmem.readRowHitRate 73.62
-system.physmem.writeRowHitRate nan
-system.physmem.avgGap 53687.05
-system.physmem.pageHitRate 73.62
-system.physmem_0.actEnergy 307020
-system.physmem_0.preEnergy 140415
-system.physmem_0.readEnergy 1406580
-system.physmem_0.writeEnergy 0
-system.physmem_0.refreshEnergy 1229280.000000
-system.physmem_0.actBackEnergy 2488050
-system.physmem_0.preBackEnergy 28320
-system.physmem_0.actPowerDownEnergy 7581570
-system.physmem_0.prePowerDownEnergy 138720
-system.physmem_0.selfRefreshEnergy 0
-system.physmem_0.totalEnergy 13319955
-system.physmem_0.averagePower 591.537915
-system.physmem_0.totalIdleTime 16888750
-system.physmem_0.memoryStateTime::IDLE 17500
-system.physmem_0.memoryStateTime::REF 520000
-system.physmem_0.memoryStateTime::SREF 0
-system.physmem_0.memoryStateTime::PRE_PDN 361000
-system.physmem_0.memoryStateTime::ACT 4997500
-system.physmem_0.memoryStateTime::ACT_PDN 16620500
-system.physmem_1.actEnergy 478380
-system.physmem_1.preEnergy 231495
-system.physmem_1.readEnergy 1570800
-system.physmem_1.writeEnergy 0
-system.physmem_1.refreshEnergy 1229280.000000
-system.physmem_1.actBackEnergy 2961150
-system.physmem_1.preBackEnergy 80160
-system.physmem_1.actPowerDownEnergy 7211640
-system.physmem_1.prePowerDownEnergy 0
-system.physmem_1.selfRefreshEnergy 0
-system.physmem_1.totalEnergy 13762905
-system.physmem_1.averagePower 611.209282
-system.physmem_1.totalIdleTime 15691750
-system.physmem_1.memoryStateTime::IDLE 103000
-system.physmem_1.memoryStateTime::REF 520000
-system.physmem_1.memoryStateTime::SREF 0
-system.physmem_1.memoryStateTime::PRE_PDN 0
-system.physmem_1.memoryStateTime::ACT 6065500
-system.physmem_1.memoryStateTime::ACT_PDN 15828000
-system.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.branchPred.lookups 3542
-system.cpu.branchPred.condPredicted 3542
-system.cpu.branchPred.condIncorrect 576
-system.cpu.branchPred.BTBLookups 3006
-system.cpu.branchPred.BTBHits 0
-system.cpu.branchPred.BTBCorrect 0
-system.cpu.branchPred.BTBHitPct 0.000000
-system.cpu.branchPred.usedRAS 386
-system.cpu.branchPred.RASInCorrect 97
-system.cpu.branchPred.indirectLookups 3006
-system.cpu.branchPred.indirectHits 514
-system.cpu.branchPred.indirectMisses 2492
-system.cpu.branchPredindirectMispredicted 416
-system.cpu_clk_domain.clock 500
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.apic_clk_domain.clock 8000
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.workload.numSyscalls 11
-system.cpu.pwrStateResidencyTicks::ON 22516500
-system.cpu.numCycles 45034
-system.cpu.numWorkItemsStarted 0
-system.cpu.numWorkItemsCompleted 0
-system.cpu.fetch.icacheStallCycles 12047
-system.cpu.fetch.Insts 16169
-system.cpu.fetch.Branches 3542
-system.cpu.fetch.predictedBranches 900
-system.cpu.fetch.Cycles 10333
-system.cpu.fetch.SquashCycles 1320
-system.cpu.fetch.MiscStallCycles 74
-system.cpu.fetch.PendingTrapStallCycles 1582
-system.cpu.fetch.PendingQuiesceStallCycles 15
-system.cpu.fetch.IcacheWaitRetryStallCycles 26
-system.cpu.fetch.CacheLines 2077
-system.cpu.fetch.IcacheSquashes 274
-system.cpu.fetch.rateDist::samples 24737
-system.cpu.fetch.rateDist::mean 1.175931
-system.cpu.fetch.rateDist::stdev 2.701309
-system.cpu.fetch.rateDist::underflows 0 0.00% 0.00%
-system.cpu.fetch.rateDist::0 20389 82.42% 82.42%
-system.cpu.fetch.rateDist::1 178 0.72% 83.14%
-system.cpu.fetch.rateDist::2 168 0.68% 83.82%
-system.cpu.fetch.rateDist::3 246 0.99% 84.82%
-system.cpu.fetch.rateDist::4 215 0.87% 85.69%
-system.cpu.fetch.rateDist::5 220 0.89% 86.57%
-system.cpu.fetch.rateDist::6 262 1.06% 87.63%
-system.cpu.fetch.rateDist::7 167 0.68% 88.31%
-system.cpu.fetch.rateDist::8 2892 11.69% 100.00%
-system.cpu.fetch.rateDist::overflows 0 0.00% 100.00%
-system.cpu.fetch.rateDist::min_value 0
-system.cpu.fetch.rateDist::max_value 8
-system.cpu.fetch.rateDist::total 24737
-system.cpu.fetch.branchRate 0.078652
-system.cpu.fetch.rate 0.359040
-system.cpu.decode.IdleCycles 12032
-system.cpu.decode.BlockedCycles 8141
-system.cpu.decode.RunCycles 3437
-system.cpu.decode.UnblockCycles 467
-system.cpu.decode.SquashCycles 660
-system.cpu.decode.DecodedInsts 26977
-system.cpu.rename.SquashCycles 660
-system.cpu.rename.IdleCycles 12302
-system.cpu.rename.BlockCycles 2135
-system.cpu.rename.serializeStallCycles 1085
-system.cpu.rename.RunCycles 3589
-system.cpu.rename.UnblockCycles 4966
-system.cpu.rename.RenamedInsts 25351
-system.cpu.rename.ROBFullEvents 14
-system.cpu.rename.IQFullEvents 77
-system.cpu.rename.SQFullEvents 4831
-system.cpu.rename.RenamedOperands 28444
-system.cpu.rename.RenameLookups 61768
-system.cpu.rename.int_rename_lookups 35524
-system.cpu.rename.fp_rename_lookups 4
-system.cpu.rename.CommittedMaps 11063
-system.cpu.rename.UndoneMaps 17381
-system.cpu.rename.serializingInsts 24
-system.cpu.rename.tempSerializingInsts 24
-system.cpu.rename.skidInsts 1430
-system.cpu.memDep0.insertedLoads 2685
-system.cpu.memDep0.insertedStores 1593
-system.cpu.memDep0.conflictingLoads 14
-system.cpu.memDep0.conflictingStores 8
-system.cpu.iq.iqInstsAdded 22118
-system.cpu.iq.iqNonSpecInstsAdded 22
-system.cpu.iq.iqInstsIssued 18234
-system.cpu.iq.iqSquashedInstsIssued 157
-system.cpu.iq.iqSquashedInstsExamined 12392
-system.cpu.iq.iqSquashedOperandsExamined 17118
-system.cpu.iq.iqSquashedNonSpecRemoved 10
-system.cpu.iq.issued_per_cycle::samples 24737
-system.cpu.iq.issued_per_cycle::mean 0.737114
-system.cpu.iq.issued_per_cycle::stdev 1.712019
-system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00%
-system.cpu.iq.issued_per_cycle::0 19548 79.02% 79.02%
-system.cpu.iq.issued_per_cycle::1 1204 4.87% 83.89%
-system.cpu.iq.issued_per_cycle::2 865 3.50% 87.39%
-system.cpu.iq.issued_per_cycle::3 579 2.34% 89.73%
-system.cpu.iq.issued_per_cycle::4 831 3.36% 93.09%
-system.cpu.iq.issued_per_cycle::5 615 2.49% 95.57%
-system.cpu.iq.issued_per_cycle::6 628 2.54% 98.11%
-system.cpu.iq.issued_per_cycle::7 340 1.37% 99.49%
-system.cpu.iq.issued_per_cycle::8 127 0.51% 100.00%
-system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00%
-system.cpu.iq.issued_per_cycle::min_value 0
-system.cpu.iq.issued_per_cycle::max_value 8
-system.cpu.iq.issued_per_cycle::total 24737
-system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00%
-system.cpu.iq.fu_full::IntAlu 218 79.85% 79.85%
-system.cpu.iq.fu_full::IntMult 0 0.00% 79.85%
-system.cpu.iq.fu_full::IntDiv 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatMult 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatMultAcc 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatMisc 0 0.00% 79.85%
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdMult 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdShift 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 79.85%
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 79.85%
-system.cpu.iq.fu_full::MemRead 40 14.65% 94.51%
-system.cpu.iq.fu_full::MemWrite 15 5.49% 100.00%
-system.cpu.iq.fu_full::FloatMemRead 0 0.00% 100.00%
-system.cpu.iq.fu_full::FloatMemWrite 0 0.00% 100.00%
-system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00%
-system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::No_OpClass 2 0.01% 0.01%
-system.cpu.iq.FU_type_0::IntAlu 14605 80.10% 80.11%
-system.cpu.iq.FU_type_0::IntMult 6 0.03% 80.14%
-system.cpu.iq.FU_type_0::IntDiv 7 0.04% 80.18%
-system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatMultAcc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatMisc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 80.18%
-system.cpu.iq.FU_type_0::MemRead 2269 12.44% 92.62%
-system.cpu.iq.FU_type_0::MemWrite 1341 7.35% 99.98%
-system.cpu.iq.FU_type_0::FloatMemRead 0 0.00% 99.98%
-system.cpu.iq.FU_type_0::FloatMemWrite 4 0.02% 100.00%
-system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::total 18234
-system.cpu.iq.rate 0.404894
-system.cpu.iq.fu_busy_cnt 273
-system.cpu.iq.fu_busy_rate 0.014972
-system.cpu.iq.int_inst_queue_reads 61627
-system.cpu.iq.int_inst_queue_writes 34537
-system.cpu.iq.int_inst_queue_wakeup_accesses 16576
-system.cpu.iq.fp_inst_queue_reads 8
-system.cpu.iq.fp_inst_queue_writes 8
-system.cpu.iq.fp_inst_queue_wakeup_accesses 4
-system.cpu.iq.int_alu_accesses 18501
-system.cpu.iq.fp_alu_accesses 4
-system.cpu.iew.lsq.thread0.forwLoads 199
-system.cpu.iew.lsq.thread0.invAddrLoads 0
-system.cpu.iew.lsq.thread0.squashedLoads 1632
-system.cpu.iew.lsq.thread0.ignoredResponses 11
-system.cpu.iew.lsq.thread0.memOrderViolation 13
-system.cpu.iew.lsq.thread0.squashedStores 658
-system.cpu.iew.lsq.thread0.invAddrSwpfs 0
-system.cpu.iew.lsq.thread0.blockedLoads 0
-system.cpu.iew.lsq.thread0.rescheduledLoads 0
-system.cpu.iew.lsq.thread0.cacheBlocked 3
-system.cpu.iew.iewIdleCycles 0
-system.cpu.iew.iewSquashCycles 660
-system.cpu.iew.iewBlockCycles 1518
-system.cpu.iew.iewUnblockCycles 153
-system.cpu.iew.iewDispatchedInsts 22140
-system.cpu.iew.iewDispSquashedInsts 9
-system.cpu.iew.iewDispLoadInsts 2685
-system.cpu.iew.iewDispStoreInsts 1593
-system.cpu.iew.iewDispNonSpecInsts 22
-system.cpu.iew.iewIQFullEvents 0
-system.cpu.iew.iewLSQFullEvents 152
-system.cpu.iew.memOrderViolationEvents 13
-system.cpu.iew.predictedTakenIncorrect 127
-system.cpu.iew.predictedNotTakenIncorrect 676
-system.cpu.iew.branchMispredicts 803
-system.cpu.iew.iewExecutedInsts 17166
-system.cpu.iew.iewExecLoadInsts 2051
-system.cpu.iew.iewExecSquashedInsts 1068
-system.cpu.iew.exec_swp 0
-system.cpu.iew.exec_nop 0
-system.cpu.iew.exec_refs 3303
-system.cpu.iew.exec_branches 1740
-system.cpu.iew.exec_stores 1252
-system.cpu.iew.exec_rate 0.381179
-system.cpu.iew.wb_sent 16892
-system.cpu.iew.wb_count 16580
-system.cpu.iew.wb_producers 11141
-system.cpu.iew.wb_consumers 17351
-system.cpu.iew.wb_rate 0.368166
-system.cpu.iew.wb_fanout 0.642096
-system.cpu.commit.commitSquashedInsts 12392
-system.cpu.commit.commitNonSpecStalls 12
-system.cpu.commit.branchMispredicts 648
-system.cpu.commit.committed_per_cycle::samples 22647
-system.cpu.commit.committed_per_cycle::mean 0.430388
-system.cpu.commit.committed_per_cycle::stdev 1.314193
-system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00%
-system.cpu.commit.committed_per_cycle::0 19392 85.63% 85.63%
-system.cpu.commit.committed_per_cycle::1 1011 4.46% 90.09%
-system.cpu.commit.committed_per_cycle::2 560 2.47% 92.56%
-system.cpu.commit.committed_per_cycle::3 726 3.21% 95.77%
-system.cpu.commit.committed_per_cycle::4 383 1.69% 97.46%
-system.cpu.commit.committed_per_cycle::5 128 0.57% 98.03%
-system.cpu.commit.committed_per_cycle::6 118 0.52% 98.55%
-system.cpu.commit.committed_per_cycle::7 74 0.33% 98.87%
-system.cpu.commit.committed_per_cycle::8 255 1.13% 100.00%
-system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00%
-system.cpu.commit.committed_per_cycle::min_value 0
-system.cpu.commit.committed_per_cycle::max_value 8
-system.cpu.commit.committed_per_cycle::total 22647
-system.cpu.commit.committedInsts 5380
-system.cpu.commit.committedOps 9747
-system.cpu.commit.swp_count 0
-system.cpu.commit.refs 1988
-system.cpu.commit.loads 1053
-system.cpu.commit.membars 0
-system.cpu.commit.branches 1208
-system.cpu.commit.fp_insts 0
-system.cpu.commit.int_insts 9653
-system.cpu.commit.function_calls 106
-system.cpu.commit.op_class_0::No_OpClass 1 0.01% 0.01%
-system.cpu.commit.op_class_0::IntAlu 7748 79.49% 79.50%
-system.cpu.commit.op_class_0::IntMult 3 0.03% 79.53%
-system.cpu.commit.op_class_0::IntDiv 7 0.07% 79.60%
-system.cpu.commit.op_class_0::FloatAdd 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatCmp 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatCvt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatMult 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatMultAcc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatDiv 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatMisc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::FloatSqrt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdAdd 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdAddAcc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdAlu 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdCmp 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdCvt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdMisc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdMult 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdMultAcc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdShift 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdShiftAcc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdSqrt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatAdd 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatAlu 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatCmp 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatCvt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatDiv 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatMisc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatMult 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatMultAcc 0 0.00% 79.60%
-system.cpu.commit.op_class_0::SimdFloatSqrt 0 0.00% 79.60%
-system.cpu.commit.op_class_0::MemRead 1053 10.80% 90.41%
-system.cpu.commit.op_class_0::MemWrite 935 9.59% 100.00%
-system.cpu.commit.op_class_0::FloatMemRead 0 0.00% 100.00%
-system.cpu.commit.op_class_0::FloatMemWrite 0 0.00% 100.00%
-system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00%
-system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00%
-system.cpu.commit.op_class_0::total 9747
-system.cpu.commit.bw_lim_events 255
-system.cpu.rob.rob_reads 44531
-system.cpu.rob.rob_writes 46400
-system.cpu.timesIdled 157
-system.cpu.idleCycles 20297
-system.cpu.committedInsts 5380
-system.cpu.committedOps 9747
-system.cpu.cpi 8.370632
-system.cpu.cpi_total 8.370632
-system.cpu.ipc 0.119465
-system.cpu.ipc_total 0.119465
-system.cpu.int_regfile_reads 21947
-system.cpu.int_regfile_writes 13377
-system.cpu.fp_regfile_reads 4
-system.cpu.cc_regfile_reads 8355
-system.cpu.cc_regfile_writes 5130
-system.cpu.misc_regfile_reads 7644
-system.cpu.misc_regfile_writes 1
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.dcache.tags.replacements 0
-system.cpu.dcache.tags.tagsinuse 81.908470
-system.cpu.dcache.tags.total_refs 2549
-system.cpu.dcache.tags.sampled_refs 140
-system.cpu.dcache.tags.avg_refs 18.207143
-system.cpu.dcache.tags.warmup_cycle 0
-system.cpu.dcache.tags.occ_blocks::cpu.data 81.908470
-system.cpu.dcache.tags.occ_percent::cpu.data 0.019997
-system.cpu.dcache.tags.occ_percent::total 0.019997
-system.cpu.dcache.tags.occ_task_id_blocks::1024 140
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 45
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 95
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.034180
-system.cpu.dcache.tags.tag_accesses 5608
-system.cpu.dcache.tags.data_accesses 5608
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.dcache.ReadReq_hits::cpu.data 1687
-system.cpu.dcache.ReadReq_hits::total 1687
-system.cpu.dcache.WriteReq_hits::cpu.data 862
-system.cpu.dcache.WriteReq_hits::total 862
-system.cpu.dcache.demand_hits::cpu.data 2549
-system.cpu.dcache.demand_hits::total 2549
-system.cpu.dcache.overall_hits::cpu.data 2549
-system.cpu.dcache.overall_hits::total 2549
-system.cpu.dcache.ReadReq_misses::cpu.data 112
-system.cpu.dcache.ReadReq_misses::total 112
-system.cpu.dcache.WriteReq_misses::cpu.data 73
-system.cpu.dcache.WriteReq_misses::total 73
-system.cpu.dcache.demand_misses::cpu.data 185
-system.cpu.dcache.demand_misses::total 185
-system.cpu.dcache.overall_misses::cpu.data 185
-system.cpu.dcache.overall_misses::total 185
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 9812000
-system.cpu.dcache.ReadReq_miss_latency::total 9812000
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 6772000
-system.cpu.dcache.WriteReq_miss_latency::total 6772000
-system.cpu.dcache.demand_miss_latency::cpu.data 16584000
-system.cpu.dcache.demand_miss_latency::total 16584000
-system.cpu.dcache.overall_miss_latency::cpu.data 16584000
-system.cpu.dcache.overall_miss_latency::total 16584000
-system.cpu.dcache.ReadReq_accesses::cpu.data 1799
-system.cpu.dcache.ReadReq_accesses::total 1799
-system.cpu.dcache.WriteReq_accesses::cpu.data 935
-system.cpu.dcache.WriteReq_accesses::total 935
-system.cpu.dcache.demand_accesses::cpu.data 2734
-system.cpu.dcache.demand_accesses::total 2734
-system.cpu.dcache.overall_accesses::cpu.data 2734
-system.cpu.dcache.overall_accesses::total 2734
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.062257
-system.cpu.dcache.ReadReq_miss_rate::total 0.062257
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.078075
-system.cpu.dcache.WriteReq_miss_rate::total 0.078075
-system.cpu.dcache.demand_miss_rate::cpu.data 0.067666
-system.cpu.dcache.demand_miss_rate::total 0.067666
-system.cpu.dcache.overall_miss_rate::cpu.data 0.067666
-system.cpu.dcache.overall_miss_rate::total 0.067666
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 87607.142857
-system.cpu.dcache.ReadReq_avg_miss_latency::total 87607.142857
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 92767.123288
-system.cpu.dcache.WriteReq_avg_miss_latency::total 92767.123288
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 89643.243243
-system.cpu.dcache.demand_avg_miss_latency::total 89643.243243
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 89643.243243
-system.cpu.dcache.overall_avg_miss_latency::total 89643.243243
-system.cpu.dcache.blocked_cycles::no_mshrs 145
-system.cpu.dcache.blocked_cycles::no_targets 0
-system.cpu.dcache.blocked::no_mshrs 5
-system.cpu.dcache.blocked::no_targets 0
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 29
-system.cpu.dcache.avg_blocked_cycles::no_targets nan
-system.cpu.dcache.ReadReq_mshr_hits::cpu.data 45
-system.cpu.dcache.ReadReq_mshr_hits::total 45
-system.cpu.dcache.demand_mshr_hits::cpu.data 45
-system.cpu.dcache.demand_mshr_hits::total 45
-system.cpu.dcache.overall_mshr_hits::cpu.data 45
-system.cpu.dcache.overall_mshr_hits::total 45
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 67
-system.cpu.dcache.ReadReq_mshr_misses::total 67
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 73
-system.cpu.dcache.WriteReq_mshr_misses::total 73
-system.cpu.dcache.demand_mshr_misses::cpu.data 140
-system.cpu.dcache.demand_mshr_misses::total 140
-system.cpu.dcache.overall_mshr_misses::cpu.data 140
-system.cpu.dcache.overall_mshr_misses::total 140
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6419000
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 6419000
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6699000
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 6699000
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 13118000
-system.cpu.dcache.demand_mshr_miss_latency::total 13118000
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 13118000
-system.cpu.dcache.overall_mshr_miss_latency::total 13118000
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.037243
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.037243
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.078075
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.078075
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.051207
-system.cpu.dcache.demand_mshr_miss_rate::total 0.051207
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.051207
-system.cpu.dcache.overall_mshr_miss_rate::total 0.051207
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 95805.970149
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 95805.970149
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 91767.123288
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 91767.123288
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 93700
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 93700
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 93700
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 93700
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.icache.tags.replacements 0
-system.cpu.icache.tags.tagsinuse 130.523512
-system.cpu.icache.tags.total_refs 1695
-system.cpu.icache.tags.sampled_refs 278
-system.cpu.icache.tags.avg_refs 6.097122
-system.cpu.icache.tags.warmup_cycle 0
-system.cpu.icache.tags.occ_blocks::cpu.inst 130.523512
-system.cpu.icache.tags.occ_percent::cpu.inst 0.063732
-system.cpu.icache.tags.occ_percent::total 0.063732
-system.cpu.icache.tags.occ_task_id_blocks::1024 278
-system.cpu.icache.tags.age_task_id_blocks_1024::0 137
-system.cpu.icache.tags.age_task_id_blocks_1024::1 141
-system.cpu.icache.tags.occ_task_id_percent::1024 0.135742
-system.cpu.icache.tags.tag_accesses 4432
-system.cpu.icache.tags.data_accesses 4432
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.icache.ReadReq_hits::cpu.inst 1695
-system.cpu.icache.ReadReq_hits::total 1695
-system.cpu.icache.demand_hits::cpu.inst 1695
-system.cpu.icache.demand_hits::total 1695
-system.cpu.icache.overall_hits::cpu.inst 1695
-system.cpu.icache.overall_hits::total 1695
-system.cpu.icache.ReadReq_misses::cpu.inst 382
-system.cpu.icache.ReadReq_misses::total 382
-system.cpu.icache.demand_misses::cpu.inst 382
-system.cpu.icache.demand_misses::total 382
-system.cpu.icache.overall_misses::cpu.inst 382
-system.cpu.icache.overall_misses::total 382
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 30098500
-system.cpu.icache.ReadReq_miss_latency::total 30098500
-system.cpu.icache.demand_miss_latency::cpu.inst 30098500
-system.cpu.icache.demand_miss_latency::total 30098500
-system.cpu.icache.overall_miss_latency::cpu.inst 30098500
-system.cpu.icache.overall_miss_latency::total 30098500
-system.cpu.icache.ReadReq_accesses::cpu.inst 2077
-system.cpu.icache.ReadReq_accesses::total 2077
-system.cpu.icache.demand_accesses::cpu.inst 2077
-system.cpu.icache.demand_accesses::total 2077
-system.cpu.icache.overall_accesses::cpu.inst 2077
-system.cpu.icache.overall_accesses::total 2077
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.183919
-system.cpu.icache.ReadReq_miss_rate::total 0.183919
-system.cpu.icache.demand_miss_rate::cpu.inst 0.183919
-system.cpu.icache.demand_miss_rate::total 0.183919
-system.cpu.icache.overall_miss_rate::cpu.inst 0.183919
-system.cpu.icache.overall_miss_rate::total 0.183919
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 78791.884817
-system.cpu.icache.ReadReq_avg_miss_latency::total 78791.884817
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 78791.884817
-system.cpu.icache.demand_avg_miss_latency::total 78791.884817
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 78791.884817
-system.cpu.icache.overall_avg_miss_latency::total 78791.884817
-system.cpu.icache.blocked_cycles::no_mshrs 159
-system.cpu.icache.blocked_cycles::no_targets 0
-system.cpu.icache.blocked::no_mshrs 3
-system.cpu.icache.blocked::no_targets 0
-system.cpu.icache.avg_blocked_cycles::no_mshrs 53
-system.cpu.icache.avg_blocked_cycles::no_targets nan
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 104
-system.cpu.icache.ReadReq_mshr_hits::total 104
-system.cpu.icache.demand_mshr_hits::cpu.inst 104
-system.cpu.icache.demand_mshr_hits::total 104
-system.cpu.icache.overall_mshr_hits::cpu.inst 104
-system.cpu.icache.overall_mshr_hits::total 104
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 278
-system.cpu.icache.ReadReq_mshr_misses::total 278
-system.cpu.icache.demand_mshr_misses::cpu.inst 278
-system.cpu.icache.demand_mshr_misses::total 278
-system.cpu.icache.overall_mshr_misses::cpu.inst 278
-system.cpu.icache.overall_mshr_misses::total 278
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 23308500
-system.cpu.icache.ReadReq_mshr_miss_latency::total 23308500
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 23308500
-system.cpu.icache.demand_mshr_miss_latency::total 23308500
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 23308500
-system.cpu.icache.overall_mshr_miss_latency::total 23308500
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.133847
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.133847
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.133847
-system.cpu.icache.demand_mshr_miss_rate::total 0.133847
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.133847
-system.cpu.icache.overall_mshr_miss_rate::total 0.133847
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 83843.525180
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 83843.525180
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 83843.525180
-system.cpu.icache.demand_avg_mshr_miss_latency::total 83843.525180
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 83843.525180
-system.cpu.icache.overall_avg_mshr_miss_latency::total 83843.525180
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.l2cache.tags.replacements 0
-system.cpu.l2cache.tags.tagsinuse 212.529421
-system.cpu.l2cache.tags.total_refs 1
-system.cpu.l2cache.tags.sampled_refs 417
-system.cpu.l2cache.tags.avg_refs 0.002398
-system.cpu.l2cache.tags.warmup_cycle 0
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 130.555666
-system.cpu.l2cache.tags.occ_blocks::cpu.data 81.973755
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.003984
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.002502
-system.cpu.l2cache.tags.occ_percent::total 0.006486
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 417
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 181
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 236
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.012726
-system.cpu.l2cache.tags.tag_accesses 3761
-system.cpu.l2cache.tags.data_accesses 3761
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1
-system.cpu.l2cache.ReadCleanReq_hits::total 1
-system.cpu.l2cache.demand_hits::cpu.inst 1
-system.cpu.l2cache.demand_hits::total 1
-system.cpu.l2cache.overall_hits::cpu.inst 1
-system.cpu.l2cache.overall_hits::total 1
-system.cpu.l2cache.ReadExReq_misses::cpu.data 73
-system.cpu.l2cache.ReadExReq_misses::total 73
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 277
-system.cpu.l2cache.ReadCleanReq_misses::total 277
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 67
-system.cpu.l2cache.ReadSharedReq_misses::total 67
-system.cpu.l2cache.demand_misses::cpu.inst 277
-system.cpu.l2cache.demand_misses::cpu.data 140
-system.cpu.l2cache.demand_misses::total 417
-system.cpu.l2cache.overall_misses::cpu.inst 277
-system.cpu.l2cache.overall_misses::cpu.data 140
-system.cpu.l2cache.overall_misses::total 417
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6589500
-system.cpu.l2cache.ReadExReq_miss_latency::total 6589500
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 22879500
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 22879500
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 6317500
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 6317500
-system.cpu.l2cache.demand_miss_latency::cpu.inst 22879500
-system.cpu.l2cache.demand_miss_latency::cpu.data 12907000
-system.cpu.l2cache.demand_miss_latency::total 35786500
-system.cpu.l2cache.overall_miss_latency::cpu.inst 22879500
-system.cpu.l2cache.overall_miss_latency::cpu.data 12907000
-system.cpu.l2cache.overall_miss_latency::total 35786500
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 73
-system.cpu.l2cache.ReadExReq_accesses::total 73
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 278
-system.cpu.l2cache.ReadCleanReq_accesses::total 278
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 67
-system.cpu.l2cache.ReadSharedReq_accesses::total 67
-system.cpu.l2cache.demand_accesses::cpu.inst 278
-system.cpu.l2cache.demand_accesses::cpu.data 140
-system.cpu.l2cache.demand_accesses::total 418
-system.cpu.l2cache.overall_accesses::cpu.inst 278
-system.cpu.l2cache.overall_accesses::cpu.data 140
-system.cpu.l2cache.overall_accesses::total 418
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.996403
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.demand_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_miss_rate::total 0.997608
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.overall_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_miss_rate::total 0.997608
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 90267.123288
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 90267.123288
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 82597.472924
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 82597.472924
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 94291.044776
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 94291.044776
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 82597.472924
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 92192.857143
-system.cpu.l2cache.demand_avg_miss_latency::total 85818.944844
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 82597.472924
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 92192.857143
-system.cpu.l2cache.overall_avg_miss_latency::total 85818.944844
-system.cpu.l2cache.blocked_cycles::no_mshrs 0
-system.cpu.l2cache.blocked_cycles::no_targets 0
-system.cpu.l2cache.blocked::no_mshrs 0
-system.cpu.l2cache.blocked::no_targets 0
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 73
-system.cpu.l2cache.ReadExReq_mshr_misses::total 73
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 277
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 277
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 67
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 67
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 277
-system.cpu.l2cache.demand_mshr_misses::cpu.data 140
-system.cpu.l2cache.demand_mshr_misses::total 417
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 277
-system.cpu.l2cache.overall_mshr_misses::cpu.data 140
-system.cpu.l2cache.overall_mshr_misses::total 417
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5859500
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5859500
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 20109500
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 20109500
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 5647500
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 5647500
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 20109500
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 11507000
-system.cpu.l2cache.demand_mshr_miss_latency::total 31616500
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 20109500
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 11507000
-system.cpu.l2cache.overall_mshr_miss_latency::total 31616500
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.996403
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.997608
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996403
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.997608
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 80267.123288
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 80267.123288
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 72597.472924
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 72597.472924
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 84291.044776
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 84291.044776
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 72597.472924
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 82192.857143
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 75818.944844
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 72597.472924
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 82192.857143
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 75818.944844
-system.cpu.toL2Bus.snoop_filter.tot_requests 418
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 1
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 22516500
-system.cpu.toL2Bus.trans_dist::ReadResp 345
-system.cpu.toL2Bus.trans_dist::ReadExReq 73
-system.cpu.toL2Bus.trans_dist::ReadExResp 73
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 278
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 67
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 556
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 280
-system.cpu.toL2Bus.pkt_count::total 836
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17792
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8960
-system.cpu.toL2Bus.pkt_size::total 26752
-system.cpu.toL2Bus.snoops 0
-system.cpu.toL2Bus.snoopTraffic 0
-system.cpu.toL2Bus.snoop_fanout::samples 418
-system.cpu.toL2Bus.snoop_fanout::mean 0.002392
-system.cpu.toL2Bus.snoop_fanout::stdev 0.048912
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00%
-system.cpu.toL2Bus.snoop_fanout::0 417 99.76% 99.76%
-system.cpu.toL2Bus.snoop_fanout::1 1 0.24% 100.00%
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::min_value 0
-system.cpu.toL2Bus.snoop_fanout::max_value 1
-system.cpu.toL2Bus.snoop_fanout::total 418
-system.cpu.toL2Bus.reqLayer0.occupancy 209000
-system.cpu.toL2Bus.reqLayer0.utilization 0.9
-system.cpu.toL2Bus.respLayer0.occupancy 417000
-system.cpu.toL2Bus.respLayer0.utilization 1.9
-system.cpu.toL2Bus.respLayer1.occupancy 210000
-system.cpu.toL2Bus.respLayer1.utilization 0.9
-system.membus.snoop_filter.tot_requests 417
-system.membus.snoop_filter.hit_single_requests 0
-system.membus.snoop_filter.hit_multi_requests 0
-system.membus.snoop_filter.tot_snoops 0
-system.membus.snoop_filter.hit_single_snoops 0
-system.membus.snoop_filter.hit_multi_snoops 0
-system.membus.pwrStateResidencyTicks::UNDEFINED 22516500
-system.membus.trans_dist::ReadResp 344
-system.membus.trans_dist::ReadExReq 73
-system.membus.trans_dist::ReadExResp 73
-system.membus.trans_dist::ReadSharedReq 344
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 834
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 834
-system.membus.pkt_count::total 834
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26688
-system.membus.pkt_size_system.cpu.l2cache.mem_side::total 26688
-system.membus.pkt_size::total 26688
-system.membus.snoops 0
-system.membus.snoopTraffic 0
-system.membus.snoop_fanout::samples 417
-system.membus.snoop_fanout::mean 0
-system.membus.snoop_fanout::stdev 0
-system.membus.snoop_fanout::underflows 0 0.00% 0.00%
-system.membus.snoop_fanout::0 417 100.00% 100.00%
-system.membus.snoop_fanout::1 0 0.00% 100.00%
-system.membus.snoop_fanout::overflows 0 0.00% 100.00%
-system.membus.snoop_fanout::min_value 0
-system.membus.snoop_fanout::max_value 0
-system.membus.snoop_fanout::total 417
-system.membus.reqLayer0.occupancy 504000
-system.membus.reqLayer0.utilization 2.2
-system.membus.respLayer1.occupancy 2226500
-system.membus.respLayer1.utilization 9.9
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini
deleted file mode 100644
index 8968a4ed6..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini
+++ /dev/null
@@ -1,263 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-kvm_vm=Null
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=atomic
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=AtomicSimpleCPU
-children=apic_clk_domain dtb interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu_clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-fastmem=false
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-simulate_data_stalls=false
-simulate_inst_stalls=false
-socket_id=0
-switched_out=false
-syscallRetryLatency=10000
-system=system
-tracer=system.cpu.tracer
-width=1
-workload=system.cpu.workload
-dcache_port=system.membus.slave[2]
-icache_port=system.membus.slave[1]
-
-[system.cpu.apic_clk_domain]
-type=DerivedClockDomain
-clk_divider=16
-clk_domain=system.cpu_clk_domain
-eventq_index=0
-
-[system.cpu.dtb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.dtb.walker
-
-[system.cpu.dtb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.membus.slave[4]
-
-[system.cpu.interrupts]
-type=X86LocalApic
-clk_domain=system.cpu.apic_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-int_latency=1000
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-pio_addr=2305843009213693952
-pio_latency=100000
-power_model=Null
-system=system
-int_master=system.membus.slave[5]
-int_slave=system.membus.master[2]
-pio=system.membus.master[1]
-
-[system.cpu.isa]
-type=X86ISA
-eventq_index=0
-
-[system.cpu.itb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.itb.walker
-
-[system.cpu.itb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.membus.slave[3]
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=100
-ppid=0
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port system.cpu.interrupts.pio system.cpu.interrupts.int_slave
-slave=system.system_port system.cpu.icache_port system.cpu.dcache_port system.cpu.itb.walker.port system.cpu.dtb.walker.port system.cpu.interrupts.int_master
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=SimpleMemory
-bandwidth=73.000000
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-latency=30000
-latency_var=0
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-range=0:134217727:0:0:0:0
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr
deleted file mode 100755
index c0b55d123..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-info: Entering event queue @ 0. Starting simulation...
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout
deleted file mode 100755
index 8dcc9cbbd..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout
+++ /dev/null
@@ -1,13 +0,0 @@
-Redirecting stdout to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-atomic/simout
-Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-atomic/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Apr 3 2017 19:05:53
-gem5 started Apr 3 2017 19:06:21
-gem5 executing on gabeblack-desktop.mtv.corp.google.com, pid 87156
-command line: /usr/local/google/home/gabeblack/gem5/gem5-public/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-atomic --stats-file 'text://stats.txt?desc=False' -re /usr/local/google/home/gabeblack/gem5/gem5-public/tests/testing/../run.py quick/se/00.hello/x86/linux/simple-atomic
-
-Global frequency set at 1000000000000 ticks per second
-Hello world!
-Exiting @ tick 5615000 because exiting with last active thread context
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
deleted file mode 100644
index 2360c7c26..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
+++ /dev/null
@@ -1,145 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000006
-sim_ticks 5615000
-final_tick 5615000
-sim_freq 1000000000000
-host_inst_rate 289662
-host_op_rate 524226
-host_tick_rate 301675446
-host_mem_usage 269844
-host_seconds 0.02
-sim_insts 5381
-sim_ops 9748
-system.voltage_domain.voltage 1
-system.clk_domain.clock 1000
-system.physmem.pwrStateResidencyTicks::UNDEFINED 5615000
-system.physmem.bytes_read::cpu.inst 54912
-system.physmem.bytes_read::cpu.data 7066
-system.physmem.bytes_read::total 61978
-system.physmem.bytes_inst_read::cpu.inst 54912
-system.physmem.bytes_inst_read::total 54912
-system.physmem.bytes_written::cpu.data 7112
-system.physmem.bytes_written::total 7112
-system.physmem.num_reads::cpu.inst 6864
-system.physmem.num_reads::cpu.data 1053
-system.physmem.num_reads::total 7917
-system.physmem.num_writes::cpu.data 935
-system.physmem.num_writes::total 935
-system.physmem.bw_read::cpu.inst 9779519145
-system.physmem.bw_read::cpu.data 1258414960
-system.physmem.bw_read::total 11037934105
-system.physmem.bw_inst_read::cpu.inst 9779519145
-system.physmem.bw_inst_read::total 9779519145
-system.physmem.bw_write::cpu.data 1266607302
-system.physmem.bw_write::total 1266607302
-system.physmem.bw_total::cpu.inst 9779519145
-system.physmem.bw_total::cpu.data 2525022262
-system.physmem.bw_total::total 12304541407
-system.pwrStateResidencyTicks::UNDEFINED 5615000
-system.cpu_clk_domain.clock 500
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 5615000
-system.cpu.apic_clk_domain.clock 8000
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 5615000
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 5615000
-system.cpu.workload.numSyscalls 11
-system.cpu.pwrStateResidencyTicks::ON 5615000
-system.cpu.numCycles 11231
-system.cpu.numWorkItemsStarted 0
-system.cpu.numWorkItemsCompleted 0
-system.cpu.committedInsts 5381
-system.cpu.committedOps 9748
-system.cpu.num_int_alu_accesses 9654
-system.cpu.num_fp_alu_accesses 0
-system.cpu.num_func_calls 209
-system.cpu.num_conditional_control_insts 899
-system.cpu.num_int_insts 9654
-system.cpu.num_fp_insts 0
-system.cpu.num_int_register_reads 18335
-system.cpu.num_int_register_writes 7527
-system.cpu.num_fp_register_reads 0
-system.cpu.num_fp_register_writes 0
-system.cpu.num_cc_register_reads 6487
-system.cpu.num_cc_register_writes 3536
-system.cpu.num_mem_refs 1988
-system.cpu.num_load_insts 1053
-system.cpu.num_store_insts 935
-system.cpu.num_idle_cycles 0
-system.cpu.num_busy_cycles 11231
-system.cpu.not_idle_fraction 1
-system.cpu.idle_fraction 0
-system.cpu.Branches 1208
-system.cpu.op_class::No_OpClass 1 0.01% 0.01%
-system.cpu.op_class::IntAlu 7749 79.49% 79.50%
-system.cpu.op_class::IntMult 3 0.03% 79.53%
-system.cpu.op_class::IntDiv 7 0.07% 79.61%
-system.cpu.op_class::FloatAdd 0 0.00% 79.61%
-system.cpu.op_class::FloatCmp 0 0.00% 79.61%
-system.cpu.op_class::FloatCvt 0 0.00% 79.61%
-system.cpu.op_class::FloatMult 0 0.00% 79.61%
-system.cpu.op_class::FloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::FloatDiv 0 0.00% 79.61%
-system.cpu.op_class::FloatMisc 0 0.00% 79.61%
-system.cpu.op_class::FloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdAddAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdMult 0 0.00% 79.61%
-system.cpu.op_class::SimdMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdShift 0 0.00% 79.61%
-system.cpu.op_class::SimdShiftAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatDiv 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMult 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::MemRead 1053 10.80% 90.41%
-system.cpu.op_class::MemWrite 935 9.59% 100.00%
-system.cpu.op_class::FloatMemRead 0 0.00% 100.00%
-system.cpu.op_class::FloatMemWrite 0 0.00% 100.00%
-system.cpu.op_class::IprAccess 0 0.00% 100.00%
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00%
-system.cpu.op_class::total 9748
-system.membus.snoop_filter.tot_requests 0
-system.membus.snoop_filter.hit_single_requests 0
-system.membus.snoop_filter.hit_multi_requests 0
-system.membus.snoop_filter.tot_snoops 0
-system.membus.snoop_filter.hit_single_snoops 0
-system.membus.snoop_filter.hit_multi_snoops 0
-system.membus.pwrStateResidencyTicks::UNDEFINED 5615000
-system.membus.trans_dist::ReadReq 7917
-system.membus.trans_dist::ReadResp 7917
-system.membus.trans_dist::WriteReq 935
-system.membus.trans_dist::WriteResp 935
-system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 13728
-system.membus.pkt_count_system.cpu.icache_port::total 13728
-system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 3976
-system.membus.pkt_count_system.cpu.dcache_port::total 3976
-system.membus.pkt_count::total 17704
-system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 54912
-system.membus.pkt_size_system.cpu.icache_port::total 54912
-system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 14178
-system.membus.pkt_size_system.cpu.dcache_port::total 14178
-system.membus.pkt_size::total 69090
-system.membus.snoops 0
-system.membus.snoopTraffic 0
-system.membus.snoop_fanout::samples 8852
-system.membus.snoop_fanout::mean 0
-system.membus.snoop_fanout::stdev 0
-system.membus.snoop_fanout::underflows 0 0.00% 0.00%
-system.membus.snoop_fanout::0 8852 100.00% 100.00%
-system.membus.snoop_fanout::1 0 0.00% 100.00%
-system.membus.snoop_fanout::overflows 0 0.00% 100.00%
-system.membus.snoop_fanout::min_value 0
-system.membus.snoop_fanout::max_value 0
-system.membus.snoop_fanout::total 8852
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
deleted file mode 100644
index 155aa9c1d..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
+++ /dev/null
@@ -1,1319 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000
-time_sync_spin_threshold=100000
-
-[system]
-type=System
-children=clk_domain cpu dvfs_handler mem_ctrls ruby sys_port_proxy voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-kvm_vm=Null
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=0:268435455:0:0:0:0
-memories=system.mem_ctrls
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.sys_port_proxy.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=TimingSimpleCPU
-children=apic_clk_domain clk_domain dtb interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu.clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-syscallRetryLatency=10000
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.ruby.l1_cntrl0.sequencer.slave[1]
-icache_port=system.ruby.l1_cntrl0.sequencer.slave[0]
-
-[system.cpu.apic_clk_domain]
-type=DerivedClockDomain
-clk_divider=16
-clk_domain=system.cpu.clk_domain
-eventq_index=0
-
-[system.cpu.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu.dtb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.dtb.walker
-
-[system.cpu.dtb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-system=system
-port=system.ruby.l1_cntrl0.sequencer.slave[3]
-
-[system.cpu.interrupts]
-type=X86LocalApic
-clk_domain=system.cpu.apic_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-int_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-pio_addr=2305843009213693952
-pio_latency=100
-power_model=Null
-system=system
-int_master=system.ruby.l1_cntrl0.sequencer.slave[4]
-int_slave=system.ruby.l1_cntrl0.sequencer.master[1]
-pio=system.ruby.l1_cntrl0.sequencer.master[0]
-
-[system.cpu.isa]
-type=X86ISA
-eventq_index=0
-
-[system.cpu.itb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.itb.walker
-
-[system.cpu.itb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-system=system
-port=system.ruby.l1_cntrl0.sequencer.slave[2]
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=100
-ppid=0
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000
-
-[system.mem_ctrls]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-page_policy=open_adaptive
-power_model=Null
-range=0:268435455:5:19:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10
-static_frontend_latency=10
-tBURST=5
-tCCD_L=0
-tCK=1
-tCL=14
-tCS=3
-tRAS=35
-tRCD=14
-tREFI=7800
-tRFC=260
-tRP=14
-tRRD=6
-tRRD_L=0
-tRTP=8
-tRTW=3
-tWR=15
-tWTR=8
-tXAW=30
-tXP=6
-tXPDLL=0
-tXS=270
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.ruby.dir_cntrl0.memory
-
-[system.ruby]
-type=RubySystem
-children=clk_domain dir_cntrl0 l1_cntrl0 memctrl_clk_domain network
-access_backing_store=false
-all_instructions=false
-block_size_bytes=64
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hot_lines=false
-memory_size_bits=48
-num_of_sequencers=1
-number_of_virtual_networks=5
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-phys_mem=Null
-power_model=Null
-randomization=false
-
-[system.ruby.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.ruby.dir_cntrl0]
-type=Directory_Controller
-children=directory dmaRequestToDir dmaResponseFromDir forwardFromDir requestToDir responseFromDir responseFromMemory
-buffer_size=0
-clk_domain=system.ruby.clk_domain
-cluster_id=0
-default_p_state=UNDEFINED
-directory=system.ruby.dir_cntrl0.directory
-directory_latency=12
-dmaRequestToDir=system.ruby.dir_cntrl0.dmaRequestToDir
-dmaResponseFromDir=system.ruby.dir_cntrl0.dmaResponseFromDir
-eventq_index=0
-forwardFromDir=system.ruby.dir_cntrl0.forwardFromDir
-number_of_TBEs=256
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-recycle_latency=10
-requestToDir=system.ruby.dir_cntrl0.requestToDir
-responseFromDir=system.ruby.dir_cntrl0.responseFromDir
-responseFromMemory=system.ruby.dir_cntrl0.responseFromMemory
-ruby_system=system.ruby
-system=system
-to_memory_controller_latency=1
-transitions_per_cycle=4
-version=0
-memory=system.mem_ctrls.port
-
-[system.ruby.dir_cntrl0.directory]
-type=RubyDirectoryMemory
-eventq_index=0
-numa_high_bit=5
-size=268435456
-system=system
-version=0
-
-[system.ruby.dir_cntrl0.dmaRequestToDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[3]
-
-[system.ruby.dir_cntrl0.dmaResponseFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[3]
-
-[system.ruby.dir_cntrl0.forwardFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-master=system.ruby.network.slave[4]
-
-[system.ruby.dir_cntrl0.requestToDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[2]
-
-[system.ruby.dir_cntrl0.responseFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-master=system.ruby.network.slave[2]
-
-[system.ruby.dir_cntrl0.responseFromMemory]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-
-[system.ruby.l1_cntrl0]
-type=L1Cache_Controller
-children=cacheMemory forwardToCache mandatoryQueue requestFromCache responseFromCache responseToCache sequencer
-buffer_size=0
-cacheMemory=system.ruby.l1_cntrl0.cacheMemory
-cache_response_latency=12
-clk_domain=system.cpu.clk_domain
-cluster_id=0
-default_p_state=UNDEFINED
-eventq_index=0
-forwardToCache=system.ruby.l1_cntrl0.forwardToCache
-issue_latency=2
-mandatoryQueue=system.ruby.l1_cntrl0.mandatoryQueue
-number_of_TBEs=256
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-recycle_latency=10
-requestFromCache=system.ruby.l1_cntrl0.requestFromCache
-responseFromCache=system.ruby.l1_cntrl0.responseFromCache
-responseToCache=system.ruby.l1_cntrl0.responseToCache
-ruby_system=system.ruby
-send_evictions=true
-sequencer=system.ruby.l1_cntrl0.sequencer
-system=system
-transitions_per_cycle=4
-version=0
-
-[system.ruby.l1_cntrl0.cacheMemory]
-type=RubyCache
-children=replacement_policy
-assoc=2
-block_size=0
-dataAccessLatency=1
-dataArrayBanks=1
-eventq_index=0
-is_icache=false
-replacement_policy=system.ruby.l1_cntrl0.cacheMemory.replacement_policy
-resourceStalls=false
-ruby_system=system.ruby
-size=256
-start_index_bit=6
-tagAccessLatency=1
-tagArrayBanks=1
-
-[system.ruby.l1_cntrl0.cacheMemory.replacement_policy]
-type=PseudoLRUReplacementPolicy
-assoc=2
-block_size=64
-eventq_index=0
-size=256
-
-[system.ruby.l1_cntrl0.forwardToCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[0]
-
-[system.ruby.l1_cntrl0.mandatoryQueue]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-
-[system.ruby.l1_cntrl0.requestFromCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[0]
-
-[system.ruby.l1_cntrl0.responseFromCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[1]
-
-[system.ruby.l1_cntrl0.responseToCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[1]
-
-[system.ruby.l1_cntrl0.sequencer]
-type=RubySequencer
-clk_domain=system.cpu.clk_domain
-coreid=99
-dcache=system.ruby.l1_cntrl0.cacheMemory
-dcache_hit_latency=1
-deadlock_threshold=500000
-default_p_state=UNDEFINED
-eventq_index=0
-garnet_standalone=false
-icache=system.ruby.l1_cntrl0.cacheMemory
-icache_hit_latency=1
-is_cpu_sequencer=true
-max_outstanding_requests=16
-no_retry_on_stall=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-ruby_system=system.ruby
-support_data_reqs=true
-support_inst_reqs=true
-system=system
-using_ruby_tester=false
-version=0
-master=system.cpu.interrupts.pio system.cpu.interrupts.int_slave
-slave=system.cpu.icache_port system.cpu.dcache_port system.cpu.itb.walker.port system.cpu.dtb.walker.port system.cpu.interrupts.int_master
-
-[system.ruby.memctrl_clk_domain]
-type=DerivedClockDomain
-clk_divider=3
-clk_domain=system.ruby.clk_domain
-eventq_index=0
-
-[system.ruby.network]
-type=SimpleNetwork
-children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_link_buffers20 int_link_buffers21 int_link_buffers22 int_link_buffers23 int_link_buffers24 int_link_buffers25 int_link_buffers26 int_link_buffers27 int_link_buffers28 int_link_buffers29 int_link_buffers30 int_link_buffers31 int_link_buffers32 int_link_buffers33 int_link_buffers34 int_link_buffers35 int_link_buffers36 int_link_buffers37 int_link_buffers38 int_link_buffers39 int_links0 int_links1 int_links2 int_links3 routers0 routers1 routers2
-adaptive_routing=false
-buffer_size=0
-clk_domain=system.ruby.clk_domain
-control_msg_size=8
-default_p_state=UNDEFINED
-endpoint_bandwidth=1000
-eventq_index=0
-ext_links=system.ruby.network.ext_links0 system.ruby.network.ext_links1
-int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19 system.ruby.network.int_link_buffers20 system.ruby.network.int_link_buffers21 system.ruby.network.int_link_buffers22 system.ruby.network.int_link_buffers23 system.ruby.network.int_link_buffers24 system.ruby.network.int_link_buffers25 system.ruby.network.int_link_buffers26 system.ruby.network.int_link_buffers27 system.ruby.network.int_link_buffers28 system.ruby.network.int_link_buffers29 system.ruby.network.int_link_buffers30 system.ruby.network.int_link_buffers31 system.ruby.network.int_link_buffers32 system.ruby.network.int_link_buffers33 system.ruby.network.int_link_buffers34 system.ruby.network.int_link_buffers35 system.ruby.network.int_link_buffers36 system.ruby.network.int_link_buffers37 system.ruby.network.int_link_buffers38 system.ruby.network.int_link_buffers39
-int_links=system.ruby.network.int_links0 system.ruby.network.int_links1 system.ruby.network.int_links2 system.ruby.network.int_links3
-netifs=
-number_of_virtual_networks=5
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-routers=system.ruby.network.routers0 system.ruby.network.routers1 system.ruby.network.routers2
-ruby_system=system.ruby
-topology=Crossbar
-master=system.ruby.l1_cntrl0.forwardToCache.slave system.ruby.l1_cntrl0.responseToCache.slave system.ruby.dir_cntrl0.requestToDir.slave system.ruby.dir_cntrl0.dmaRequestToDir.slave
-slave=system.ruby.l1_cntrl0.requestFromCache.master system.ruby.l1_cntrl0.responseFromCache.master system.ruby.dir_cntrl0.responseFromDir.master system.ruby.dir_cntrl0.dmaResponseFromDir.master system.ruby.dir_cntrl0.forwardFromDir.master
-
-[system.ruby.network.ext_links0]
-type=SimpleExtLink
-bandwidth_factor=16
-eventq_index=0
-ext_node=system.ruby.l1_cntrl0
-int_node=system.ruby.network.routers0
-latency=1
-link_id=0
-weight=1
-
-[system.ruby.network.ext_links1]
-type=SimpleExtLink
-bandwidth_factor=16
-eventq_index=0
-ext_node=system.ruby.dir_cntrl0
-int_node=system.ruby.network.routers1
-latency=1
-link_id=1
-weight=1
-
-[system.ruby.network.int_link_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers15]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers16]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers17]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers18]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers19]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers20]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers21]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers22]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers23]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers24]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers25]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers26]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers27]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers28]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers29]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers30]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers31]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers32]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers33]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers34]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers35]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers36]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers37]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers38]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers39]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_links0]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers2
-eventq_index=0
-latency=1
-link_id=2
-src_node=system.ruby.network.routers0
-src_outport=
-weight=1
-
-[system.ruby.network.int_links1]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers2
-eventq_index=0
-latency=1
-link_id=3
-src_node=system.ruby.network.routers1
-src_outport=
-weight=1
-
-[system.ruby.network.int_links2]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers0
-eventq_index=0
-latency=1
-link_id=4
-src_node=system.ruby.network.routers2
-src_outport=
-weight=1
-
-[system.ruby.network.int_links3]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers1
-eventq_index=0
-latency=1
-link_id=5
-src_node=system.ruby.network.routers2
-src_outport=
-weight=1
-
-[system.ruby.network.routers0]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers0.port_buffers00 system.ruby.network.routers0.port_buffers01 system.ruby.network.routers0.port_buffers02 system.ruby.network.routers0.port_buffers03 system.ruby.network.routers0.port_buffers04 system.ruby.network.routers0.port_buffers05 system.ruby.network.routers0.port_buffers06 system.ruby.network.routers0.port_buffers07 system.ruby.network.routers0.port_buffers08 system.ruby.network.routers0.port_buffers09 system.ruby.network.routers0.port_buffers10 system.ruby.network.routers0.port_buffers11 system.ruby.network.routers0.port_buffers12 system.ruby.network.routers0.port_buffers13 system.ruby.network.routers0.port_buffers14
-power_model=Null
-router_id=0
-virt_nets=5
-
-[system.ruby.network.routers0.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers1.port_buffers00 system.ruby.network.routers1.port_buffers01 system.ruby.network.routers1.port_buffers02 system.ruby.network.routers1.port_buffers03 system.ruby.network.routers1.port_buffers04 system.ruby.network.routers1.port_buffers05 system.ruby.network.routers1.port_buffers06 system.ruby.network.routers1.port_buffers07 system.ruby.network.routers1.port_buffers08 system.ruby.network.routers1.port_buffers09 system.ruby.network.routers1.port_buffers10 system.ruby.network.routers1.port_buffers11 system.ruby.network.routers1.port_buffers12 system.ruby.network.routers1.port_buffers13 system.ruby.network.routers1.port_buffers14
-power_model=Null
-router_id=1
-virt_nets=5
-
-[system.ruby.network.routers1.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14 port_buffers15 port_buffers16 port_buffers17 port_buffers18 port_buffers19
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers2.port_buffers00 system.ruby.network.routers2.port_buffers01 system.ruby.network.routers2.port_buffers02 system.ruby.network.routers2.port_buffers03 system.ruby.network.routers2.port_buffers04 system.ruby.network.routers2.port_buffers05 system.ruby.network.routers2.port_buffers06 system.ruby.network.routers2.port_buffers07 system.ruby.network.routers2.port_buffers08 system.ruby.network.routers2.port_buffers09 system.ruby.network.routers2.port_buffers10 system.ruby.network.routers2.port_buffers11 system.ruby.network.routers2.port_buffers12 system.ruby.network.routers2.port_buffers13 system.ruby.network.routers2.port_buffers14 system.ruby.network.routers2.port_buffers15 system.ruby.network.routers2.port_buffers16 system.ruby.network.routers2.port_buffers17 system.ruby.network.routers2.port_buffers18 system.ruby.network.routers2.port_buffers19
-power_model=Null
-router_id=2
-virt_nets=5
-
-[system.ruby.network.routers2.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers15]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers16]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers17]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers18]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers19]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.sys_port_proxy]
-type=RubyPortProxy
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-is_cpu_sequencer=true
-no_retry_on_stall=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-ruby_system=system.ruby
-support_data_reqs=true
-support_inst_reqs=true
-system=system
-using_ruby_tester=false
-version=0
-slave=system.system_port
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
deleted file mode 100755
index 95500d55b..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
+++ /dev/null
@@ -1,11 +0,0 @@
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (256 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-info: Entering event queue @ 0. Starting simulation...
-warn: Replacement policy updates recently became the responsibility of SLICC state machines. Make sure to setMRU() near callbacks in .sm files!
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
deleted file mode 100755
index 18eac1046..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
+++ /dev/null
@@ -1,13 +0,0 @@
-Redirecting stdout to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby/simout
-Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Apr 3 2017 19:05:53
-gem5 started Apr 3 2017 19:06:22
-gem5 executing on gabeblack-desktop.mtv.corp.google.com, pid 87199
-command line: /usr/local/google/home/gabeblack/gem5/gem5-public/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby --stats-file 'text://stats.txt?desc=False' -re /usr/local/google/home/gabeblack/gem5/gem5-public/tests/testing/../run.py quick/se/00.hello/x86/linux/simple-timing-ruby
-
-Global frequency set at 1000000000 ticks per second
-Hello world!
-Exiting @ tick 91859 because exiting with last active thread context
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
deleted file mode 100644
index f79527e54..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
+++ /dev/null
@@ -1,707 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000092
-sim_ticks 91859
-final_tick 91859
-sim_freq 1000000000
-host_inst_rate 44912
-host_op_rate 81347
-host_tick_rate 766447
-host_mem_usage 444688
-host_seconds 0.12
-sim_insts 5381
-sim_ops 9748
-system.voltage_domain.voltage 1
-system.clk_domain.clock 1
-system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 91859
-system.mem_ctrls.bytes_read::ruby.dir_cntrl0 88128
-system.mem_ctrls.bytes_read::total 88128
-system.mem_ctrls.bytes_written::ruby.dir_cntrl0 87872
-system.mem_ctrls.bytes_written::total 87872
-system.mem_ctrls.num_reads::ruby.dir_cntrl0 1377
-system.mem_ctrls.num_reads::total 1377
-system.mem_ctrls.num_writes::ruby.dir_cntrl0 1373
-system.mem_ctrls.num_writes::total 1373
-system.mem_ctrls.bw_read::ruby.dir_cntrl0 959383403
-system.mem_ctrls.bw_read::total 959383403
-system.mem_ctrls.bw_write::ruby.dir_cntrl0 956596523
-system.mem_ctrls.bw_write::total 956596523
-system.mem_ctrls.bw_total::ruby.dir_cntrl0 1915979926
-system.mem_ctrls.bw_total::total 1915979926
-system.mem_ctrls.readReqs 1377
-system.mem_ctrls.writeReqs 1373
-system.mem_ctrls.readBursts 1377
-system.mem_ctrls.writeBursts 1373
-system.mem_ctrls.bytesReadDRAM 41408
-system.mem_ctrls.bytesReadWrQ 46720
-system.mem_ctrls.bytesWritten 41728
-system.mem_ctrls.bytesReadSys 88128
-system.mem_ctrls.bytesWrittenSys 87872
-system.mem_ctrls.servicedByWrQ 730
-system.mem_ctrls.mergedWrBursts 702
-system.mem_ctrls.neitherReadNorWriteReqs 0
-system.mem_ctrls.perBankRdBursts::0 60
-system.mem_ctrls.perBankRdBursts::1 2
-system.mem_ctrls.perBankRdBursts::2 6
-system.mem_ctrls.perBankRdBursts::3 10
-system.mem_ctrls.perBankRdBursts::4 51
-system.mem_ctrls.perBankRdBursts::5 53
-system.mem_ctrls.perBankRdBursts::6 39
-system.mem_ctrls.perBankRdBursts::7 57
-system.mem_ctrls.perBankRdBursts::8 28
-system.mem_ctrls.perBankRdBursts::9 129
-system.mem_ctrls.perBankRdBursts::10 115
-system.mem_ctrls.perBankRdBursts::11 24
-system.mem_ctrls.perBankRdBursts::12 2
-system.mem_ctrls.perBankRdBursts::13 28
-system.mem_ctrls.perBankRdBursts::14 8
-system.mem_ctrls.perBankRdBursts::15 35
-system.mem_ctrls.perBankWrBursts::0 55
-system.mem_ctrls.perBankWrBursts::1 2
-system.mem_ctrls.perBankWrBursts::2 6
-system.mem_ctrls.perBankWrBursts::3 8
-system.mem_ctrls.perBankWrBursts::4 52
-system.mem_ctrls.perBankWrBursts::5 48
-system.mem_ctrls.perBankWrBursts::6 38
-system.mem_ctrls.perBankWrBursts::7 60
-system.mem_ctrls.perBankWrBursts::8 28
-system.mem_ctrls.perBankWrBursts::9 130
-system.mem_ctrls.perBankWrBursts::10 123
-system.mem_ctrls.perBankWrBursts::11 24
-system.mem_ctrls.perBankWrBursts::12 2
-system.mem_ctrls.perBankWrBursts::13 31
-system.mem_ctrls.perBankWrBursts::14 8
-system.mem_ctrls.perBankWrBursts::15 37
-system.mem_ctrls.numRdRetry 0
-system.mem_ctrls.numWrRetry 0
-system.mem_ctrls.totGap 91773
-system.mem_ctrls.readPktSize::0 0
-system.mem_ctrls.readPktSize::1 0
-system.mem_ctrls.readPktSize::2 0
-system.mem_ctrls.readPktSize::3 0
-system.mem_ctrls.readPktSize::4 0
-system.mem_ctrls.readPktSize::5 0
-system.mem_ctrls.readPktSize::6 1377
-system.mem_ctrls.writePktSize::0 0
-system.mem_ctrls.writePktSize::1 0
-system.mem_ctrls.writePktSize::2 0
-system.mem_ctrls.writePktSize::3 0
-system.mem_ctrls.writePktSize::4 0
-system.mem_ctrls.writePktSize::5 0
-system.mem_ctrls.writePktSize::6 1373
-system.mem_ctrls.rdQLenPdf::0 647
-system.mem_ctrls.rdQLenPdf::1 0
-system.mem_ctrls.rdQLenPdf::2 0
-system.mem_ctrls.rdQLenPdf::3 0
-system.mem_ctrls.rdQLenPdf::4 0
-system.mem_ctrls.rdQLenPdf::5 0
-system.mem_ctrls.rdQLenPdf::6 0
-system.mem_ctrls.rdQLenPdf::7 0
-system.mem_ctrls.rdQLenPdf::8 0
-system.mem_ctrls.rdQLenPdf::9 0
-system.mem_ctrls.rdQLenPdf::10 0
-system.mem_ctrls.rdQLenPdf::11 0
-system.mem_ctrls.rdQLenPdf::12 0
-system.mem_ctrls.rdQLenPdf::13 0
-system.mem_ctrls.rdQLenPdf::14 0
-system.mem_ctrls.rdQLenPdf::15 0
-system.mem_ctrls.rdQLenPdf::16 0
-system.mem_ctrls.rdQLenPdf::17 0
-system.mem_ctrls.rdQLenPdf::18 0
-system.mem_ctrls.rdQLenPdf::19 0
-system.mem_ctrls.rdQLenPdf::20 0
-system.mem_ctrls.rdQLenPdf::21 0
-system.mem_ctrls.rdQLenPdf::22 0
-system.mem_ctrls.rdQLenPdf::23 0
-system.mem_ctrls.rdQLenPdf::24 0
-system.mem_ctrls.rdQLenPdf::25 0
-system.mem_ctrls.rdQLenPdf::26 0
-system.mem_ctrls.rdQLenPdf::27 0
-system.mem_ctrls.rdQLenPdf::28 0
-system.mem_ctrls.rdQLenPdf::29 0
-system.mem_ctrls.rdQLenPdf::30 0
-system.mem_ctrls.rdQLenPdf::31 0
-system.mem_ctrls.wrQLenPdf::0 1
-system.mem_ctrls.wrQLenPdf::1 1
-system.mem_ctrls.wrQLenPdf::2 1
-system.mem_ctrls.wrQLenPdf::3 1
-system.mem_ctrls.wrQLenPdf::4 1
-system.mem_ctrls.wrQLenPdf::5 1
-system.mem_ctrls.wrQLenPdf::6 1
-system.mem_ctrls.wrQLenPdf::7 1
-system.mem_ctrls.wrQLenPdf::8 1
-system.mem_ctrls.wrQLenPdf::9 1
-system.mem_ctrls.wrQLenPdf::10 1
-system.mem_ctrls.wrQLenPdf::11 1
-system.mem_ctrls.wrQLenPdf::12 1
-system.mem_ctrls.wrQLenPdf::13 1
-system.mem_ctrls.wrQLenPdf::14 1
-system.mem_ctrls.wrQLenPdf::15 6
-system.mem_ctrls.wrQLenPdf::16 6
-system.mem_ctrls.wrQLenPdf::17 33
-system.mem_ctrls.wrQLenPdf::18 42
-system.mem_ctrls.wrQLenPdf::19 42
-system.mem_ctrls.wrQLenPdf::20 43
-system.mem_ctrls.wrQLenPdf::21 44
-system.mem_ctrls.wrQLenPdf::22 40
-system.mem_ctrls.wrQLenPdf::23 40
-system.mem_ctrls.wrQLenPdf::24 40
-system.mem_ctrls.wrQLenPdf::25 40
-system.mem_ctrls.wrQLenPdf::26 40
-system.mem_ctrls.wrQLenPdf::27 40
-system.mem_ctrls.wrQLenPdf::28 40
-system.mem_ctrls.wrQLenPdf::29 40
-system.mem_ctrls.wrQLenPdf::30 40
-system.mem_ctrls.wrQLenPdf::31 40
-system.mem_ctrls.wrQLenPdf::32 40
-system.mem_ctrls.wrQLenPdf::33 0
-system.mem_ctrls.wrQLenPdf::34 0
-system.mem_ctrls.wrQLenPdf::35 0
-system.mem_ctrls.wrQLenPdf::36 0
-system.mem_ctrls.wrQLenPdf::37 0
-system.mem_ctrls.wrQLenPdf::38 0
-system.mem_ctrls.wrQLenPdf::39 0
-system.mem_ctrls.wrQLenPdf::40 0
-system.mem_ctrls.wrQLenPdf::41 0
-system.mem_ctrls.wrQLenPdf::42 0
-system.mem_ctrls.wrQLenPdf::43 0
-system.mem_ctrls.wrQLenPdf::44 0
-system.mem_ctrls.wrQLenPdf::45 0
-system.mem_ctrls.wrQLenPdf::46 0
-system.mem_ctrls.wrQLenPdf::47 0
-system.mem_ctrls.wrQLenPdf::48 0
-system.mem_ctrls.wrQLenPdf::49 0
-system.mem_ctrls.wrQLenPdf::50 0
-system.mem_ctrls.wrQLenPdf::51 0
-system.mem_ctrls.wrQLenPdf::52 0
-system.mem_ctrls.wrQLenPdf::53 0
-system.mem_ctrls.wrQLenPdf::54 0
-system.mem_ctrls.wrQLenPdf::55 0
-system.mem_ctrls.wrQLenPdf::56 0
-system.mem_ctrls.wrQLenPdf::57 0
-system.mem_ctrls.wrQLenPdf::58 0
-system.mem_ctrls.wrQLenPdf::59 0
-system.mem_ctrls.wrQLenPdf::60 0
-system.mem_ctrls.wrQLenPdf::61 0
-system.mem_ctrls.wrQLenPdf::62 0
-system.mem_ctrls.wrQLenPdf::63 0
-system.mem_ctrls.bytesPerActivate::samples 263
-system.mem_ctrls.bytesPerActivate::mean 304.669202
-system.mem_ctrls.bytesPerActivate::gmean 201.653389
-system.mem_ctrls.bytesPerActivate::stdev 284.735596
-system.mem_ctrls.bytesPerActivate::0-127 72 27.38% 27.38%
-system.mem_ctrls.bytesPerActivate::128-255 68 25.86% 53.23%
-system.mem_ctrls.bytesPerActivate::256-383 44 16.73% 69.96%
-system.mem_ctrls.bytesPerActivate::384-511 29 11.03% 80.99%
-system.mem_ctrls.bytesPerActivate::512-639 12 4.56% 85.55%
-system.mem_ctrls.bytesPerActivate::640-767 9 3.42% 88.97%
-system.mem_ctrls.bytesPerActivate::768-895 6 2.28% 91.25%
-system.mem_ctrls.bytesPerActivate::896-1023 3 1.14% 92.40%
-system.mem_ctrls.bytesPerActivate::1024-1151 20 7.60% 100.00%
-system.mem_ctrls.bytesPerActivate::total 263
-system.mem_ctrls.rdPerTurnAround::samples 40
-system.mem_ctrls.rdPerTurnAround::mean 16.100000
-system.mem_ctrls.rdPerTurnAround::gmean 15.846587
-system.mem_ctrls.rdPerTurnAround::stdev 3.484765
-system.mem_ctrls.rdPerTurnAround::12-13 3 7.50% 7.50%
-system.mem_ctrls.rdPerTurnAround::14-15 12 30.00% 37.50%
-system.mem_ctrls.rdPerTurnAround::16-17 19 47.50% 85.00%
-system.mem_ctrls.rdPerTurnAround::18-19 4 10.00% 95.00%
-system.mem_ctrls.rdPerTurnAround::20-21 1 2.50% 97.50%
-system.mem_ctrls.rdPerTurnAround::34-35 1 2.50% 100.00%
-system.mem_ctrls.rdPerTurnAround::total 40
-system.mem_ctrls.wrPerTurnAround::samples 40
-system.mem_ctrls.wrPerTurnAround::mean 16.300000
-system.mem_ctrls.wrPerTurnAround::gmean 16.281263
-system.mem_ctrls.wrPerTurnAround::stdev 0.822753
-system.mem_ctrls.wrPerTurnAround::16 35 87.50% 87.50%
-system.mem_ctrls.wrPerTurnAround::18 3 7.50% 95.00%
-system.mem_ctrls.wrPerTurnAround::19 2 5.00% 100.00%
-system.mem_ctrls.wrPerTurnAround::total 40
-system.mem_ctrls.totQLat 12721
-system.mem_ctrls.totMemAccLat 25014
-system.mem_ctrls.totBusLat 3235
-system.mem_ctrls.avgQLat 19.66
-system.mem_ctrls.avgBusLat 5.00
-system.mem_ctrls.avgMemAccLat 38.66
-system.mem_ctrls.avgRdBW 450.78
-system.mem_ctrls.avgWrBW 454.26
-system.mem_ctrls.avgRdBWSys 959.38
-system.mem_ctrls.avgWrBWSys 956.60
-system.mem_ctrls.peakBW 12800.00
-system.mem_ctrls.busUtil 7.07
-system.mem_ctrls.busUtilRead 3.52
-system.mem_ctrls.busUtilWrite 3.55
-system.mem_ctrls.avgRdQLen 1.00
-system.mem_ctrls.avgWrQLen 25.84
-system.mem_ctrls.readRowHits 435
-system.mem_ctrls.writeRowHits 591
-system.mem_ctrls.readRowHitRate 67.23
-system.mem_ctrls.writeRowHitRate 88.08
-system.mem_ctrls.avgGap 33.37
-system.mem_ctrls.pageHitRate 77.85
-system.mem_ctrls_0.actEnergy 664020
-system.mem_ctrls_0.preEnergy 340032
-system.mem_ctrls_0.readEnergy 3175872
-system.mem_ctrls_0.writeEnergy 2246688
-system.mem_ctrls_0.refreshEnergy 7375680.000000
-system.mem_ctrls_0.actBackEnergy 10273224
-system.mem_ctrls_0.preBackEnergy 269568
-system.mem_ctrls_0.actPowerDownEnergy 25208136
-system.mem_ctrls_0.prePowerDownEnergy 4818816
-system.mem_ctrls_0.selfRefreshEnergy 743760.000000
-system.mem_ctrls_0.totalEnergy 55115796
-system.mem_ctrls_0.averagePower 600.004311
-system.mem_ctrls_0.totalIdleTime 68393
-system.mem_ctrls_0.memoryStateTime::IDLE 346
-system.mem_ctrls_0.memoryStateTime::REF 3126
-system.mem_ctrls_0.memoryStateTime::SREF 798
-system.mem_ctrls_0.memoryStateTime::PRE_PDN 12549
-system.mem_ctrls_0.memoryStateTime::ACT 19759
-system.mem_ctrls_0.memoryStateTime::ACT_PDN 55281
-system.mem_ctrls_1.actEnergy 1285200
-system.mem_ctrls_1.preEnergy 676200
-system.mem_ctrls_1.readEnergy 4215456
-system.mem_ctrls_1.writeEnergy 3198816
-system.mem_ctrls_1.refreshEnergy 6761040.000000
-system.mem_ctrls_1.actBackEnergy 9576912
-system.mem_ctrls_1.preBackEnergy 183552
-system.mem_ctrls_1.actPowerDownEnergy 28147512
-system.mem_ctrls_1.prePowerDownEnergy 3322368
-system.mem_ctrls_1.selfRefreshEnergy 0
-system.mem_ctrls_1.totalEnergy 57367056
-system.mem_ctrls_1.averagePower 624.512089
-system.mem_ctrls_1.totalIdleTime 70328
-system.mem_ctrls_1.memoryStateTime::IDLE 150
-system.mem_ctrls_1.memoryStateTime::REF 2866
-system.mem_ctrls_1.memoryStateTime::SREF 0
-system.mem_ctrls_1.memoryStateTime::PRE_PDN 8652
-system.mem_ctrls_1.memoryStateTime::ACT 18464
-system.mem_ctrls_1.memoryStateTime::ACT_PDN 61727
-system.pwrStateResidencyTicks::UNDEFINED 91859
-system.cpu.clk_domain.clock 1
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 91859
-system.cpu.apic_clk_domain.clock 16
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 91859
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 91859
-system.cpu.workload.numSyscalls 11
-system.cpu.pwrStateResidencyTicks::ON 91859
-system.cpu.numCycles 91859
-system.cpu.numWorkItemsStarted 0
-system.cpu.numWorkItemsCompleted 0
-system.cpu.committedInsts 5381
-system.cpu.committedOps 9748
-system.cpu.num_int_alu_accesses 9654
-system.cpu.num_fp_alu_accesses 0
-system.cpu.num_func_calls 209
-system.cpu.num_conditional_control_insts 899
-system.cpu.num_int_insts 9654
-system.cpu.num_fp_insts 0
-system.cpu.num_int_register_reads 18335
-system.cpu.num_int_register_writes 7527
-system.cpu.num_fp_register_reads 0
-system.cpu.num_fp_register_writes 0
-system.cpu.num_cc_register_reads 6487
-system.cpu.num_cc_register_writes 3536
-system.cpu.num_mem_refs 1988
-system.cpu.num_load_insts 1053
-system.cpu.num_store_insts 935
-system.cpu.num_idle_cycles 0
-system.cpu.num_busy_cycles 91859
-system.cpu.not_idle_fraction 1
-system.cpu.idle_fraction 0
-system.cpu.Branches 1208
-system.cpu.op_class::No_OpClass 1 0.01% 0.01%
-system.cpu.op_class::IntAlu 7749 79.49% 79.50%
-system.cpu.op_class::IntMult 3 0.03% 79.53%
-system.cpu.op_class::IntDiv 7 0.07% 79.61%
-system.cpu.op_class::FloatAdd 0 0.00% 79.61%
-system.cpu.op_class::FloatCmp 0 0.00% 79.61%
-system.cpu.op_class::FloatCvt 0 0.00% 79.61%
-system.cpu.op_class::FloatMult 0 0.00% 79.61%
-system.cpu.op_class::FloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::FloatDiv 0 0.00% 79.61%
-system.cpu.op_class::FloatMisc 0 0.00% 79.61%
-system.cpu.op_class::FloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdAddAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdMult 0 0.00% 79.61%
-system.cpu.op_class::SimdMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdShift 0 0.00% 79.61%
-system.cpu.op_class::SimdShiftAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatDiv 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMult 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::MemRead 1053 10.80% 90.41%
-system.cpu.op_class::MemWrite 935 9.59% 100.00%
-system.cpu.op_class::FloatMemRead 0 0.00% 100.00%
-system.cpu.op_class::FloatMemWrite 0 0.00% 100.00%
-system.cpu.op_class::IprAccess 0 0.00% 100.00%
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00%
-system.cpu.op_class::total 9748
-system.ruby.clk_domain.clock 1
-system.ruby.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.delayHist::bucket_size 1
-system.ruby.delayHist::max_bucket 9
-system.ruby.delayHist::samples 2750
-system.ruby.delayHist | 2750 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.delayHist::total 2750
-system.ruby.outstanding_req_hist_seqr::bucket_size 1
-system.ruby.outstanding_req_hist_seqr::max_bucket 9
-system.ruby.outstanding_req_hist_seqr::samples 8853
-system.ruby.outstanding_req_hist_seqr::mean 1
-system.ruby.outstanding_req_hist_seqr::gmean 1
-system.ruby.outstanding_req_hist_seqr | 0 0.00% 0.00% | 8853 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.outstanding_req_hist_seqr::total 8853
-system.ruby.latency_hist_seqr::bucket_size 64
-system.ruby.latency_hist_seqr::max_bucket 639
-system.ruby.latency_hist_seqr::samples 8852
-system.ruby.latency_hist_seqr::mean 9.377203
-system.ruby.latency_hist_seqr::gmean 1.827971
-system.ruby.latency_hist_seqr::stdev 23.652747
-system.ruby.latency_hist_seqr | 8226 92.93% 92.93% | 589 6.65% 99.58% | 26 0.29% 99.88% | 4 0.05% 99.92% | 3 0.03% 99.95% | 4 0.05% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.latency_hist_seqr::total 8852
-system.ruby.hit_latency_hist_seqr::bucket_size 1
-system.ruby.hit_latency_hist_seqr::max_bucket 9
-system.ruby.hit_latency_hist_seqr::samples 7475
-system.ruby.hit_latency_hist_seqr::mean 1
-system.ruby.hit_latency_hist_seqr::gmean 1
-system.ruby.hit_latency_hist_seqr | 0 0.00% 0.00% | 7475 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.hit_latency_hist_seqr::total 7475
-system.ruby.miss_latency_hist_seqr::bucket_size 64
-system.ruby.miss_latency_hist_seqr::max_bucket 639
-system.ruby.miss_latency_hist_seqr::samples 1377
-system.ruby.miss_latency_hist_seqr::mean 54.852578
-system.ruby.miss_latency_hist_seqr::gmean 48.312712
-system.ruby.miss_latency_hist_seqr::stdev 33.880423
-system.ruby.miss_latency_hist_seqr | 751 54.54% 54.54% | 589 42.77% 97.31% | 26 1.89% 99.20% | 4 0.29% 99.49% | 3 0.22% 99.71% | 4 0.29% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.miss_latency_hist_seqr::total 1377
-system.ruby.Directory.incomplete_times_seqr 1376
-system.ruby.dir_cntrl0.forwardFromDir.avg_buf_msgs 0.014947
-system.ruby.dir_cntrl0.forwardFromDir.avg_stall_time 0.996691
-system.ruby.dir_cntrl0.requestToDir.avg_buf_msgs 0.029937
-system.ruby.dir_cntrl0.requestToDir.avg_stall_time 11.743740
-system.ruby.dir_cntrl0.responseFromDir.avg_buf_msgs 0.014990
-system.ruby.dir_cntrl0.responseFromDir.avg_stall_time 0.999249
-system.ruby.dir_cntrl0.responseFromMemory.avg_buf_msgs 0.029937
-system.ruby.dir_cntrl0.responseFromMemory.avg_stall_time 0.999260
-system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.l1_cntrl0.cacheMemory.demand_hits 7475
-system.ruby.l1_cntrl0.cacheMemory.demand_misses 1377
-system.ruby.l1_cntrl0.cacheMemory.demand_accesses 8852
-system.ruby.l1_cntrl0.forwardToCache.avg_buf_msgs 0.014947
-system.ruby.l1_cntrl0.forwardToCache.avg_stall_time 6.976377
-system.ruby.l1_cntrl0.mandatoryQueue.avg_buf_msgs 0.096375
-system.ruby.l1_cntrl0.mandatoryQueue.avg_stall_time 0.999989
-system.ruby.l1_cntrl0.requestFromCache.avg_buf_msgs 0.059874
-system.ruby.l1_cntrl0.requestFromCache.avg_stall_time 1.999935
-system.ruby.l1_cntrl0.responseToCache.avg_buf_msgs 0.014990
-system.ruby.l1_cntrl0.responseToCache.avg_stall_time 6.994285
-system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.memctrl_clk_domain.clock 3
-system.ruby.network.routers0.port_buffers03.avg_buf_msgs 0.014947
-system.ruby.network.routers0.port_buffers03.avg_stall_time 5.979817
-system.ruby.network.routers0.port_buffers04.avg_buf_msgs 0.014990
-system.ruby.network.routers0.port_buffers04.avg_stall_time 5.995167
-system.ruby.network.routers0.port_buffers07.avg_buf_msgs 0.089723
-system.ruby.network.routers0.port_buffers07.avg_stall_time 6.744611
-system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.network.routers0.percent_links_utilized 7.484297
-system.ruby.network.routers0.msg_count.Control::2 1377
-system.ruby.network.routers0.msg_count.Data::2 1373
-system.ruby.network.routers0.msg_count.Response_Data::4 1377
-system.ruby.network.routers0.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers0.msg_bytes.Control::2 11016
-system.ruby.network.routers0.msg_bytes.Data::2 98856
-system.ruby.network.routers0.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers1.port_buffers02.avg_buf_msgs 0.029937
-system.ruby.network.routers1.port_buffers02.avg_stall_time 10.743958
-system.ruby.network.routers1.port_buffers06.avg_buf_msgs 0.014947
-system.ruby.network.routers1.port_buffers06.avg_stall_time 1.993359
-system.ruby.network.routers1.port_buffers07.avg_buf_msgs 0.014990
-system.ruby.network.routers1.port_buffers07.avg_stall_time 1.998476
-system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.network.routers1.percent_links_utilized 7.484297
-system.ruby.network.routers1.msg_count.Control::2 1377
-system.ruby.network.routers1.msg_count.Data::2 1373
-system.ruby.network.routers1.msg_count.Response_Data::4 1377
-system.ruby.network.routers1.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers1.msg_bytes.Control::2 11016
-system.ruby.network.routers1.msg_bytes.Data::2 98856
-system.ruby.network.routers1.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers1.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.int_link_buffers02.avg_buf_msgs 0.029937
-system.ruby.network.int_link_buffers02.avg_stall_time 7.744481
-system.ruby.network.int_link_buffers08.avg_buf_msgs 0.014947
-system.ruby.network.int_link_buffers08.avg_stall_time 2.990007
-system.ruby.network.int_link_buffers09.avg_buf_msgs 0.014990
-system.ruby.network.int_link_buffers09.avg_stall_time 2.997681
-system.ruby.network.int_link_buffers13.avg_buf_msgs 0.014947
-system.ruby.network.int_link_buffers13.avg_stall_time 4.983235
-system.ruby.network.int_link_buffers14.avg_buf_msgs 0.014990
-system.ruby.network.int_link_buffers14.avg_stall_time 4.996027
-system.ruby.network.int_link_buffers17.avg_buf_msgs 0.029937
-system.ruby.network.int_link_buffers17.avg_stall_time 9.744154
-system.ruby.network.routers2.port_buffers03.avg_buf_msgs 0.014947
-system.ruby.network.routers2.port_buffers03.avg_stall_time 3.986632
-system.ruby.network.routers2.port_buffers04.avg_buf_msgs 0.014990
-system.ruby.network.routers2.port_buffers04.avg_stall_time 3.996865
-system.ruby.network.routers2.port_buffers07.avg_buf_msgs 0.029937
-system.ruby.network.routers2.port_buffers07.avg_stall_time 8.744328
-system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.network.routers2.percent_links_utilized 7.484297
-system.ruby.network.routers2.msg_count.Control::2 1377
-system.ruby.network.routers2.msg_count.Data::2 1373
-system.ruby.network.routers2.msg_count.Response_Data::4 1377
-system.ruby.network.routers2.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers2.msg_bytes.Control::2 11016
-system.ruby.network.routers2.msg_bytes.Data::2 98856
-system.ruby.network.routers2.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers2.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.network.msg_count.Control 4131
-system.ruby.network.msg_count.Data 4119
-system.ruby.network.msg_count.Response_Data 4131
-system.ruby.network.msg_count.Writeback_Control 4119
-system.ruby.network.msg_byte.Control 33048
-system.ruby.network.msg_byte.Data 296568
-system.ruby.network.msg_byte.Response_Data 297432
-system.ruby.network.msg_byte.Writeback_Control 32952
-system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 91859
-system.ruby.network.routers0.throttle0.link_utilization 7.493006
-system.ruby.network.routers0.throttle0.msg_count.Response_Data::4 1377
-system.ruby.network.routers0.throttle0.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers0.throttle0.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers0.throttle0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers0.throttle1.link_utilization 7.475588
-system.ruby.network.routers0.throttle1.msg_count.Control::2 1377
-system.ruby.network.routers0.throttle1.msg_count.Data::2 1373
-system.ruby.network.routers0.throttle1.msg_bytes.Control::2 11016
-system.ruby.network.routers0.throttle1.msg_bytes.Data::2 98856
-system.ruby.network.routers1.throttle0.link_utilization 7.475588
-system.ruby.network.routers1.throttle0.msg_count.Control::2 1377
-system.ruby.network.routers1.throttle0.msg_count.Data::2 1373
-system.ruby.network.routers1.throttle0.msg_bytes.Control::2 11016
-system.ruby.network.routers1.throttle0.msg_bytes.Data::2 98856
-system.ruby.network.routers1.throttle1.link_utilization 7.493006
-system.ruby.network.routers1.throttle1.msg_count.Response_Data::4 1377
-system.ruby.network.routers1.throttle1.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers1.throttle1.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers1.throttle1.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers2.throttle0.link_utilization 7.493006
-system.ruby.network.routers2.throttle0.msg_count.Response_Data::4 1377
-system.ruby.network.routers2.throttle0.msg_count.Writeback_Control::3 1373
-system.ruby.network.routers2.throttle0.msg_bytes.Response_Data::4 99144
-system.ruby.network.routers2.throttle0.msg_bytes.Writeback_Control::3 10984
-system.ruby.network.routers2.throttle1.link_utilization 7.475588
-system.ruby.network.routers2.throttle1.msg_count.Control::2 1377
-system.ruby.network.routers2.throttle1.msg_count.Data::2 1373
-system.ruby.network.routers2.throttle1.msg_bytes.Control::2 11016
-system.ruby.network.routers2.throttle1.msg_bytes.Data::2 98856
-system.ruby.delayVCHist.vnet_1::bucket_size 1
-system.ruby.delayVCHist.vnet_1::max_bucket 9
-system.ruby.delayVCHist.vnet_1::samples 1377
-system.ruby.delayVCHist.vnet_1 | 1377 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.delayVCHist.vnet_1::total 1377
-system.ruby.delayVCHist.vnet_2::bucket_size 1
-system.ruby.delayVCHist.vnet_2::max_bucket 9
-system.ruby.delayVCHist.vnet_2::samples 1373
-system.ruby.delayVCHist.vnet_2 | 1373 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.delayVCHist.vnet_2::total 1373
-system.ruby.LD.latency_hist_seqr::bucket_size 32
-system.ruby.LD.latency_hist_seqr::max_bucket 319
-system.ruby.LD.latency_hist_seqr::samples 1045
-system.ruby.LD.latency_hist_seqr::mean 23.607656
-system.ruby.LD.latency_hist_seqr::gmean 6.057935
-system.ruby.LD.latency_hist_seqr::stdev 29.475705
-system.ruby.LD.latency_hist_seqr | 546 52.25% 52.25% | 330 31.58% 83.83% | 162 15.50% 99.33% | 1 0.10% 99.43% | 4 0.38% 99.81% | 1 0.10% 99.90% | 0 0.00% 99.90% | 1 0.10% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.latency_hist_seqr::total 1045
-system.ruby.LD.hit_latency_hist_seqr::bucket_size 1
-system.ruby.LD.hit_latency_hist_seqr::max_bucket 9
-system.ruby.LD.hit_latency_hist_seqr::samples 546
-system.ruby.LD.hit_latency_hist_seqr::mean 1
-system.ruby.LD.hit_latency_hist_seqr::gmean 1
-system.ruby.LD.hit_latency_hist_seqr | 0 0.00% 0.00% | 546 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.hit_latency_hist_seqr::total 546
-system.ruby.LD.miss_latency_hist_seqr::bucket_size 32
-system.ruby.LD.miss_latency_hist_seqr::max_bucket 319
-system.ruby.LD.miss_latency_hist_seqr::samples 499
-system.ruby.LD.miss_latency_hist_seqr::mean 48.344689
-system.ruby.LD.miss_latency_hist_seqr::gmean 43.484561
-system.ruby.LD.miss_latency_hist_seqr::stdev 25.453032
-system.ruby.LD.miss_latency_hist_seqr | 0 0.00% 0.00% | 330 66.13% 66.13% | 162 32.46% 98.60% | 1 0.20% 98.80% | 4 0.80% 99.60% | 1 0.20% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.miss_latency_hist_seqr::total 499
-system.ruby.ST.latency_hist_seqr::bucket_size 64
-system.ruby.ST.latency_hist_seqr::max_bucket 639
-system.ruby.ST.latency_hist_seqr::samples 935
-system.ruby.ST.latency_hist_seqr::mean 16.455615
-system.ruby.ST.latency_hist_seqr::gmean 2.877223
-system.ruby.ST.latency_hist_seqr::stdev 34.720603
-system.ruby.ST.latency_hist_seqr | 821 87.81% 87.81% | 102 10.91% 98.72% | 6 0.64% 99.36% | 2 0.21% 99.57% | 2 0.21% 99.79% | 2 0.21% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.ST.latency_hist_seqr::total 935
-system.ruby.ST.hit_latency_hist_seqr::bucket_size 1
-system.ruby.ST.hit_latency_hist_seqr::max_bucket 9
-system.ruby.ST.hit_latency_hist_seqr::samples 681
-system.ruby.ST.hit_latency_hist_seqr::mean 1
-system.ruby.ST.hit_latency_hist_seqr::gmean 1
-system.ruby.ST.hit_latency_hist_seqr | 0 0.00% 0.00% | 681 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.ST.hit_latency_hist_seqr::total 681
-system.ruby.ST.miss_latency_hist_seqr::bucket_size 64
-system.ruby.ST.miss_latency_hist_seqr::max_bucket 639
-system.ruby.ST.miss_latency_hist_seqr::samples 254
-system.ruby.ST.miss_latency_hist_seqr::mean 57.893701
-system.ruby.ST.miss_latency_hist_seqr::gmean 48.924758
-system.ruby.ST.miss_latency_hist_seqr::stdev 45.645746
-system.ruby.ST.miss_latency_hist_seqr | 140 55.12% 55.12% | 102 40.16% 95.28% | 6 2.36% 97.64% | 2 0.79% 98.43% | 2 0.79% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.ST.miss_latency_hist_seqr::total 254
-system.ruby.IFETCH.latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.latency_hist_seqr::samples 6864
-system.ruby.IFETCH.latency_hist_seqr::mean 6.251748
-system.ruby.IFETCH.latency_hist_seqr::gmean 1.432185
-system.ruby.IFETCH.latency_hist_seqr::stdev 19.434647
-system.ruby.IFETCH.latency_hist_seqr | 6521 95.00% 95.00% | 324 4.72% 99.72% | 15 0.22% 99.94% | 1 0.01% 99.96% | 1 0.01% 99.97% | 2 0.03% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.latency_hist_seqr::total 6864
-system.ruby.IFETCH.hit_latency_hist_seqr::bucket_size 1
-system.ruby.IFETCH.hit_latency_hist_seqr::max_bucket 9
-system.ruby.IFETCH.hit_latency_hist_seqr::samples 6241
-system.ruby.IFETCH.hit_latency_hist_seqr::mean 1
-system.ruby.IFETCH.hit_latency_hist_seqr::gmean 1
-system.ruby.IFETCH.hit_latency_hist_seqr | 0 0.00% 0.00% | 6241 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.hit_latency_hist_seqr::total 6241
-system.ruby.IFETCH.miss_latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.miss_latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.miss_latency_hist_seqr::samples 623
-system.ruby.IFETCH.miss_latency_hist_seqr::mean 58.861958
-system.ruby.IFETCH.miss_latency_hist_seqr::gmean 52.329270
-system.ruby.IFETCH.miss_latency_hist_seqr::stdev 33.443818
-system.ruby.IFETCH.miss_latency_hist_seqr | 280 44.94% 44.94% | 324 52.01% 96.95% | 15 2.41% 99.36% | 1 0.16% 99.52% | 1 0.16% 99.68% | 2 0.32% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.miss_latency_hist_seqr::total 623
-system.ruby.RMW_Read.latency_hist_seqr::bucket_size 4
-system.ruby.RMW_Read.latency_hist_seqr::max_bucket 39
-system.ruby.RMW_Read.latency_hist_seqr::samples 8
-system.ruby.RMW_Read.latency_hist_seqr::mean 4.875000
-system.ruby.RMW_Read.latency_hist_seqr::gmean 1.542211
-system.ruby.RMW_Read.latency_hist_seqr::stdev 10.960155
-system.ruby.RMW_Read.latency_hist_seqr | 7 87.50% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 0 0.00% 87.50% | 1 12.50% 100.00% | 0 0.00% 100.00%
-system.ruby.RMW_Read.latency_hist_seqr::total 8
-system.ruby.RMW_Read.hit_latency_hist_seqr::bucket_size 1
-system.ruby.RMW_Read.hit_latency_hist_seqr::max_bucket 9
-system.ruby.RMW_Read.hit_latency_hist_seqr::samples 7
-system.ruby.RMW_Read.hit_latency_hist_seqr::mean 1
-system.ruby.RMW_Read.hit_latency_hist_seqr::gmean 1
-system.ruby.RMW_Read.hit_latency_hist_seqr | 0 0.00% 0.00% | 7 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.RMW_Read.hit_latency_hist_seqr::total 7
-system.ruby.RMW_Read.miss_latency_hist_seqr::bucket_size 4
-system.ruby.RMW_Read.miss_latency_hist_seqr::max_bucket 39
-system.ruby.RMW_Read.miss_latency_hist_seqr::samples 1
-system.ruby.RMW_Read.miss_latency_hist_seqr::mean 32
-system.ruby.RMW_Read.miss_latency_hist_seqr::gmean 32
-system.ruby.RMW_Read.miss_latency_hist_seqr::stdev nan
-system.ruby.RMW_Read.miss_latency_hist_seqr | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 1 100.00% 100.00% | 0 0.00% 100.00%
-system.ruby.RMW_Read.miss_latency_hist_seqr::total 1
-system.ruby.Directory.miss_mach_latency_hist_seqr::bucket_size 64
-system.ruby.Directory.miss_mach_latency_hist_seqr::max_bucket 639
-system.ruby.Directory.miss_mach_latency_hist_seqr::samples 1377
-system.ruby.Directory.miss_mach_latency_hist_seqr::mean 54.852578
-system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 48.312712
-system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 33.880423
-system.ruby.Directory.miss_mach_latency_hist_seqr | 751 54.54% 54.54% | 589 42.77% 97.31% | 26 1.89% 99.20% | 4 0.29% 99.49% | 3 0.22% 99.71% | 4 0.29% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_mach_latency_hist_seqr::total 1377
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::total 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::total 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::total 1
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::bucket_size 8
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::max_bucket 79
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::mean 75
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::gmean 75.000000
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 1 100.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::total 1
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::bucket_size 32
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::max_bucket 319
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::samples 499
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 48.344689
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 43.484561
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 25.453032
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 330 66.13% 66.13% | 162 32.46% 98.60% | 1 0.20% 98.80% | 4 0.80% 99.60% | 1 0.20% 99.80% | 0 0.00% 99.80% | 1 0.20% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::total 499
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::samples 254
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 57.893701
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 48.924758
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 45.645746
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 140 55.12% 55.12% | 102 40.16% 95.28% | 6 2.36% 97.64% | 2 0.79% 98.43% | 2 0.79% 99.21% | 2 0.79% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::total 254
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::samples 623
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 58.861958
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 52.329270
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 33.443818
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 280 44.94% 44.94% | 324 52.01% 96.95% | 15 2.41% 99.36% | 1 0.16% 99.52% | 1 0.16% 99.68% | 2 0.32% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::total 623
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::bucket_size 4
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::max_bucket 39
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::samples 1
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::mean 32
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::gmean 32
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::stdev nan
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 1 100.00% 100.00% | 0 0.00% 100.00%
-system.ruby.RMW_Read.Directory.miss_type_mach_latency_hist_seqr::total 1
-system.ruby.Directory_Controller.GETX 1377 0.00% 0.00%
-system.ruby.Directory_Controller.PUTX 1373 0.00% 0.00%
-system.ruby.Directory_Controller.Memory_Data 1377 0.00% 0.00%
-system.ruby.Directory_Controller.Memory_Ack 1373 0.00% 0.00%
-system.ruby.Directory_Controller.I.GETX 1377 0.00% 0.00%
-system.ruby.Directory_Controller.M.PUTX 1373 0.00% 0.00%
-system.ruby.Directory_Controller.IM.Memory_Data 1377 0.00% 0.00%
-system.ruby.Directory_Controller.MI.Memory_Ack 1373 0.00% 0.00%
-system.ruby.L1Cache_Controller.Load 1045 0.00% 0.00%
-system.ruby.L1Cache_Controller.Ifetch 6864 0.00% 0.00%
-system.ruby.L1Cache_Controller.Store 943 0.00% 0.00%
-system.ruby.L1Cache_Controller.Data 1377 0.00% 0.00%
-system.ruby.L1Cache_Controller.Replacement 1373 0.00% 0.00%
-system.ruby.L1Cache_Controller.Writeback_Ack 1373 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Load 499 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Ifetch 623 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Store 255 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Load 546 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Ifetch 6241 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Store 688 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Replacement 1373 0.00% 0.00%
-system.ruby.L1Cache_Controller.MI.Writeback_Ack 1373 0.00% 0.00%
-system.ruby.L1Cache_Controller.IS.Data 1122 0.00% 0.00%
-system.ruby.L1Cache_Controller.IM.Data 255 0.00% 0.00%
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini
deleted file mode 100644
index 1bbdae21e..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini
+++ /dev/null
@@ -1,432 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-kvm_vm=Null
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=TimingSimpleCPU
-children=apic_clk_domain dcache dtb icache interrupts isa itb l2cache toL2Bus tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu_clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-syscallRetryLatency=10000
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.apic_clk_domain]
-type=DerivedClockDomain
-clk_divider=16
-clk_domain=system.cpu_clk_domain
-eventq_index=0
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tag_latency=2
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-tag_latency=2
-
-[system.cpu.dtb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.dtb.walker
-
-[system.cpu.dtb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.cpu.toL2Bus.slave[3]
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tag_latency=2
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-tag_latency=2
-
-[system.cpu.interrupts]
-type=X86LocalApic
-clk_domain=system.cpu.apic_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-int_latency=1000
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-pio_addr=2305843009213693952
-pio_latency=100000
-power_model=Null
-system=system
-int_master=system.membus.slave[2]
-int_slave=system.membus.master[2]
-pio=system.membus.master[1]
-
-[system.cpu.isa]
-type=X86ISA
-eventq_index=0
-
-[system.cpu.itb]
-type=X86TLB
-children=walker
-eventq_index=0
-size=64
-walker=system.cpu.itb.walker
-
-[system.cpu.itb.walker]
-type=X86PagetableWalker
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-num_squash_per_cycle=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-system=system
-port=system.cpu.toL2Bus.slave[2]
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=20
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tag_latency=20
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=20
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-tag_latency=20
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.itb.walker.port system.cpu.dtb.walker.port
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=100
-ppid=0
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port system.cpu.interrupts.pio system.cpu.interrupts.int_slave
-slave=system.system_port system.cpu.l2cache.mem_side system.cpu.interrupts.int_master
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=SimpleMemory
-bandwidth=73.000000
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-latency=30000
-latency_var=0
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-range=0:134217727:0:0:0:0
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr
deleted file mode 100755
index c0b55d123..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-info: Entering event queue @ 0. Starting simulation...
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout
deleted file mode 100755
index 30d3fbf05..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout
+++ /dev/null
@@ -1,13 +0,0 @@
-Redirecting stdout to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing/simout
-Redirecting stderr to build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Apr 3 2017 19:05:53
-gem5 started Apr 3 2017 19:06:21
-gem5 executing on gabeblack-desktop.mtv.corp.google.com, pid 87155
-command line: /usr/local/google/home/gabeblack/gem5/gem5-public/build/X86/gem5.opt -d build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing --stats-file 'text://stats.txt?desc=False' -re /usr/local/google/home/gabeblack/gem5/gem5-public/tests/testing/../run.py quick/se/00.hello/x86/linux/simple-timing
-
-Global frequency set at 1000000000000 ticks per second
-Hello world!
-Exiting @ tick 31247500 because exiting with last active thread context
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
deleted file mode 100644
index e9a5f137e..000000000
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
+++ /dev/null
@@ -1,501 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000031
-sim_ticks 31247500
-final_tick 31247500
-sim_freq 1000000000000
-host_inst_rate 194718
-host_op_rate 352470
-host_tick_rate 1129073998
-host_mem_usage 278812
-host_seconds 0.03
-sim_insts 5381
-sim_ops 9748
-system.voltage_domain.voltage 1
-system.clk_domain.clock 1000
-system.physmem.pwrStateResidencyTicks::UNDEFINED 31247500
-system.physmem.bytes_read::cpu.inst 14528
-system.physmem.bytes_read::cpu.data 8576
-system.physmem.bytes_read::total 23104
-system.physmem.bytes_inst_read::cpu.inst 14528
-system.physmem.bytes_inst_read::total 14528
-system.physmem.num_reads::cpu.inst 227
-system.physmem.num_reads::cpu.data 134
-system.physmem.num_reads::total 361
-system.physmem.bw_read::cpu.inst 464933195
-system.physmem.bw_read::cpu.data 274453956
-system.physmem.bw_read::total 739387151
-system.physmem.bw_inst_read::cpu.inst 464933195
-system.physmem.bw_inst_read::total 464933195
-system.physmem.bw_total::cpu.inst 464933195
-system.physmem.bw_total::cpu.data 274453956
-system.physmem.bw_total::total 739387151
-system.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu_clk_domain.clock 500
-system.cpu.dtb.walker.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.apic_clk_domain.clock 8000
-system.cpu.interrupts.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.itb.walker.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.workload.numSyscalls 11
-system.cpu.pwrStateResidencyTicks::ON 31247500
-system.cpu.numCycles 62495
-system.cpu.numWorkItemsStarted 0
-system.cpu.numWorkItemsCompleted 0
-system.cpu.committedInsts 5381
-system.cpu.committedOps 9748
-system.cpu.num_int_alu_accesses 9654
-system.cpu.num_fp_alu_accesses 0
-system.cpu.num_func_calls 209
-system.cpu.num_conditional_control_insts 899
-system.cpu.num_int_insts 9654
-system.cpu.num_fp_insts 0
-system.cpu.num_int_register_reads 18335
-system.cpu.num_int_register_writes 7527
-system.cpu.num_fp_register_reads 0
-system.cpu.num_fp_register_writes 0
-system.cpu.num_cc_register_reads 6487
-system.cpu.num_cc_register_writes 3536
-system.cpu.num_mem_refs 1988
-system.cpu.num_load_insts 1053
-system.cpu.num_store_insts 935
-system.cpu.num_idle_cycles 0
-system.cpu.num_busy_cycles 62495
-system.cpu.not_idle_fraction 1
-system.cpu.idle_fraction 0
-system.cpu.Branches 1208
-system.cpu.op_class::No_OpClass 1 0.01% 0.01%
-system.cpu.op_class::IntAlu 7749 79.49% 79.50%
-system.cpu.op_class::IntMult 3 0.03% 79.53%
-system.cpu.op_class::IntDiv 7 0.07% 79.61%
-system.cpu.op_class::FloatAdd 0 0.00% 79.61%
-system.cpu.op_class::FloatCmp 0 0.00% 79.61%
-system.cpu.op_class::FloatCvt 0 0.00% 79.61%
-system.cpu.op_class::FloatMult 0 0.00% 79.61%
-system.cpu.op_class::FloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::FloatDiv 0 0.00% 79.61%
-system.cpu.op_class::FloatMisc 0 0.00% 79.61%
-system.cpu.op_class::FloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdAddAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdMult 0 0.00% 79.61%
-system.cpu.op_class::SimdMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdShift 0 0.00% 79.61%
-system.cpu.op_class::SimdShiftAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdSqrt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAdd 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatAlu 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCmp 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatCvt 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatDiv 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMisc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMult 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 79.61%
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 79.61%
-system.cpu.op_class::MemRead 1053 10.80% 90.41%
-system.cpu.op_class::MemWrite 935 9.59% 100.00%
-system.cpu.op_class::FloatMemRead 0 0.00% 100.00%
-system.cpu.op_class::FloatMemWrite 0 0.00% 100.00%
-system.cpu.op_class::IprAccess 0 0.00% 100.00%
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00%
-system.cpu.op_class::total 9748
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.dcache.tags.replacements 0
-system.cpu.dcache.tags.tagsinuse 80.527852
-system.cpu.dcache.tags.total_refs 1854
-system.cpu.dcache.tags.sampled_refs 134
-system.cpu.dcache.tags.avg_refs 13.835821
-system.cpu.dcache.tags.warmup_cycle 0
-system.cpu.dcache.tags.occ_blocks::cpu.data 80.527852
-system.cpu.dcache.tags.occ_percent::cpu.data 0.019660
-system.cpu.dcache.tags.occ_percent::total 0.019660
-system.cpu.dcache.tags.occ_task_id_blocks::1024 134
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 31
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 103
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.032715
-system.cpu.dcache.tags.tag_accesses 4110
-system.cpu.dcache.tags.data_accesses 4110
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.dcache.ReadReq_hits::cpu.data 998
-system.cpu.dcache.ReadReq_hits::total 998
-system.cpu.dcache.WriteReq_hits::cpu.data 856
-system.cpu.dcache.WriteReq_hits::total 856
-system.cpu.dcache.demand_hits::cpu.data 1854
-system.cpu.dcache.demand_hits::total 1854
-system.cpu.dcache.overall_hits::cpu.data 1854
-system.cpu.dcache.overall_hits::total 1854
-system.cpu.dcache.ReadReq_misses::cpu.data 55
-system.cpu.dcache.ReadReq_misses::total 55
-system.cpu.dcache.WriteReq_misses::cpu.data 79
-system.cpu.dcache.WriteReq_misses::total 79
-system.cpu.dcache.demand_misses::cpu.data 134
-system.cpu.dcache.demand_misses::total 134
-system.cpu.dcache.overall_misses::cpu.data 134
-system.cpu.dcache.overall_misses::total 134
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 3465000
-system.cpu.dcache.ReadReq_miss_latency::total 3465000
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 4977000
-system.cpu.dcache.WriteReq_miss_latency::total 4977000
-system.cpu.dcache.demand_miss_latency::cpu.data 8442000
-system.cpu.dcache.demand_miss_latency::total 8442000
-system.cpu.dcache.overall_miss_latency::cpu.data 8442000
-system.cpu.dcache.overall_miss_latency::total 8442000
-system.cpu.dcache.ReadReq_accesses::cpu.data 1053
-system.cpu.dcache.ReadReq_accesses::total 1053
-system.cpu.dcache.WriteReq_accesses::cpu.data 935
-system.cpu.dcache.WriteReq_accesses::total 935
-system.cpu.dcache.demand_accesses::cpu.data 1988
-system.cpu.dcache.demand_accesses::total 1988
-system.cpu.dcache.overall_accesses::cpu.data 1988
-system.cpu.dcache.overall_accesses::total 1988
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.052232
-system.cpu.dcache.ReadReq_miss_rate::total 0.052232
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.084492
-system.cpu.dcache.WriteReq_miss_rate::total 0.084492
-system.cpu.dcache.demand_miss_rate::cpu.data 0.067404
-system.cpu.dcache.demand_miss_rate::total 0.067404
-system.cpu.dcache.overall_miss_rate::cpu.data 0.067404
-system.cpu.dcache.overall_miss_rate::total 0.067404
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 63000
-system.cpu.dcache.ReadReq_avg_miss_latency::total 63000
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 63000
-system.cpu.dcache.WriteReq_avg_miss_latency::total 63000
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 63000
-system.cpu.dcache.demand_avg_miss_latency::total 63000
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 63000
-system.cpu.dcache.overall_avg_miss_latency::total 63000
-system.cpu.dcache.blocked_cycles::no_mshrs 0
-system.cpu.dcache.blocked_cycles::no_targets 0
-system.cpu.dcache.blocked::no_mshrs 0
-system.cpu.dcache.blocked::no_targets 0
-system.cpu.dcache.avg_blocked_cycles::no_mshrs nan
-system.cpu.dcache.avg_blocked_cycles::no_targets nan
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 55
-system.cpu.dcache.ReadReq_mshr_misses::total 55
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 79
-system.cpu.dcache.WriteReq_mshr_misses::total 79
-system.cpu.dcache.demand_mshr_misses::cpu.data 134
-system.cpu.dcache.demand_mshr_misses::total 134
-system.cpu.dcache.overall_mshr_misses::cpu.data 134
-system.cpu.dcache.overall_mshr_misses::total 134
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 3410000
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 3410000
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4898000
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 4898000
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 8308000
-system.cpu.dcache.demand_mshr_miss_latency::total 8308000
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 8308000
-system.cpu.dcache.overall_mshr_miss_latency::total 8308000
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.052232
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.052232
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.084492
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.084492
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.067404
-system.cpu.dcache.demand_mshr_miss_rate::total 0.067404
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.067404
-system.cpu.dcache.overall_mshr_miss_rate::total 0.067404
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 62000
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 62000
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 62000
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 62000
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 62000
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 62000
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 62000
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 62000
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.icache.tags.replacements 0
-system.cpu.icache.tags.tagsinuse 105.231814
-system.cpu.icache.tags.total_refs 6637
-system.cpu.icache.tags.sampled_refs 228
-system.cpu.icache.tags.avg_refs 29.109649
-system.cpu.icache.tags.warmup_cycle 0
-system.cpu.icache.tags.occ_blocks::cpu.inst 105.231814
-system.cpu.icache.tags.occ_percent::cpu.inst 0.051383
-system.cpu.icache.tags.occ_percent::total 0.051383
-system.cpu.icache.tags.occ_task_id_blocks::1024 228
-system.cpu.icache.tags.age_task_id_blocks_1024::0 84
-system.cpu.icache.tags.age_task_id_blocks_1024::1 144
-system.cpu.icache.tags.occ_task_id_percent::1024 0.111328
-system.cpu.icache.tags.tag_accesses 13958
-system.cpu.icache.tags.data_accesses 13958
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.icache.ReadReq_hits::cpu.inst 6637
-system.cpu.icache.ReadReq_hits::total 6637
-system.cpu.icache.demand_hits::cpu.inst 6637
-system.cpu.icache.demand_hits::total 6637
-system.cpu.icache.overall_hits::cpu.inst 6637
-system.cpu.icache.overall_hits::total 6637
-system.cpu.icache.ReadReq_misses::cpu.inst 228
-system.cpu.icache.ReadReq_misses::total 228
-system.cpu.icache.demand_misses::cpu.inst 228
-system.cpu.icache.demand_misses::total 228
-system.cpu.icache.overall_misses::cpu.inst 228
-system.cpu.icache.overall_misses::total 228
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 14315500
-system.cpu.icache.ReadReq_miss_latency::total 14315500
-system.cpu.icache.demand_miss_latency::cpu.inst 14315500
-system.cpu.icache.demand_miss_latency::total 14315500
-system.cpu.icache.overall_miss_latency::cpu.inst 14315500
-system.cpu.icache.overall_miss_latency::total 14315500
-system.cpu.icache.ReadReq_accesses::cpu.inst 6865
-system.cpu.icache.ReadReq_accesses::total 6865
-system.cpu.icache.demand_accesses::cpu.inst 6865
-system.cpu.icache.demand_accesses::total 6865
-system.cpu.icache.overall_accesses::cpu.inst 6865
-system.cpu.icache.overall_accesses::total 6865
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.033212
-system.cpu.icache.ReadReq_miss_rate::total 0.033212
-system.cpu.icache.demand_miss_rate::cpu.inst 0.033212
-system.cpu.icache.demand_miss_rate::total 0.033212
-system.cpu.icache.overall_miss_rate::cpu.inst 0.033212
-system.cpu.icache.overall_miss_rate::total 0.033212
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 62787.280702
-system.cpu.icache.ReadReq_avg_miss_latency::total 62787.280702
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 62787.280702
-system.cpu.icache.demand_avg_miss_latency::total 62787.280702
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 62787.280702
-system.cpu.icache.overall_avg_miss_latency::total 62787.280702
-system.cpu.icache.blocked_cycles::no_mshrs 0
-system.cpu.icache.blocked_cycles::no_targets 0
-system.cpu.icache.blocked::no_mshrs 0
-system.cpu.icache.blocked::no_targets 0
-system.cpu.icache.avg_blocked_cycles::no_mshrs nan
-system.cpu.icache.avg_blocked_cycles::no_targets nan
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 228
-system.cpu.icache.ReadReq_mshr_misses::total 228
-system.cpu.icache.demand_mshr_misses::cpu.inst 228
-system.cpu.icache.demand_mshr_misses::total 228
-system.cpu.icache.overall_mshr_misses::cpu.inst 228
-system.cpu.icache.overall_mshr_misses::total 228
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 14087500
-system.cpu.icache.ReadReq_mshr_miss_latency::total 14087500
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 14087500
-system.cpu.icache.demand_mshr_miss_latency::total 14087500
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 14087500
-system.cpu.icache.overall_mshr_miss_latency::total 14087500
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.033212
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.033212
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.033212
-system.cpu.icache.demand_mshr_miss_rate::total 0.033212
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.033212
-system.cpu.icache.overall_mshr_miss_rate::total 0.033212
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 61787.280702
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 61787.280702
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 61787.280702
-system.cpu.icache.demand_avg_mshr_miss_latency::total 61787.280702
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 61787.280702
-system.cpu.icache.overall_avg_mshr_miss_latency::total 61787.280702
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.l2cache.tags.replacements 0
-system.cpu.l2cache.tags.tagsinuse 185.792229
-system.cpu.l2cache.tags.total_refs 1
-system.cpu.l2cache.tags.sampled_refs 361
-system.cpu.l2cache.tags.avg_refs 0.002770
-system.cpu.l2cache.tags.warmup_cycle 0
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 105.219349
-system.cpu.l2cache.tags.occ_blocks::cpu.data 80.572880
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.003211
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.002459
-system.cpu.l2cache.tags.occ_percent::total 0.005670
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 361
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 114
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 247
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.011017
-system.cpu.l2cache.tags.tag_accesses 3257
-system.cpu.l2cache.tags.data_accesses 3257
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1
-system.cpu.l2cache.ReadCleanReq_hits::total 1
-system.cpu.l2cache.demand_hits::cpu.inst 1
-system.cpu.l2cache.demand_hits::total 1
-system.cpu.l2cache.overall_hits::cpu.inst 1
-system.cpu.l2cache.overall_hits::total 1
-system.cpu.l2cache.ReadExReq_misses::cpu.data 79
-system.cpu.l2cache.ReadExReq_misses::total 79
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 227
-system.cpu.l2cache.ReadCleanReq_misses::total 227
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 55
-system.cpu.l2cache.ReadSharedReq_misses::total 55
-system.cpu.l2cache.demand_misses::cpu.inst 227
-system.cpu.l2cache.demand_misses::cpu.data 134
-system.cpu.l2cache.demand_misses::total 361
-system.cpu.l2cache.overall_misses::cpu.inst 227
-system.cpu.l2cache.overall_misses::cpu.data 134
-system.cpu.l2cache.overall_misses::total 361
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4779500
-system.cpu.l2cache.ReadExReq_miss_latency::total 4779500
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 13734000
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 13734000
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 3327500
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 3327500
-system.cpu.l2cache.demand_miss_latency::cpu.inst 13734000
-system.cpu.l2cache.demand_miss_latency::cpu.data 8107000
-system.cpu.l2cache.demand_miss_latency::total 21841000
-system.cpu.l2cache.overall_miss_latency::cpu.inst 13734000
-system.cpu.l2cache.overall_miss_latency::cpu.data 8107000
-system.cpu.l2cache.overall_miss_latency::total 21841000
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 79
-system.cpu.l2cache.ReadExReq_accesses::total 79
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 228
-system.cpu.l2cache.ReadCleanReq_accesses::total 228
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 55
-system.cpu.l2cache.ReadSharedReq_accesses::total 55
-system.cpu.l2cache.demand_accesses::cpu.inst 228
-system.cpu.l2cache.demand_accesses::cpu.data 134
-system.cpu.l2cache.demand_accesses::total 362
-system.cpu.l2cache.overall_accesses::cpu.inst 228
-system.cpu.l2cache.overall_accesses::cpu.data 134
-system.cpu.l2cache.overall_accesses::total 362
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.995614
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.demand_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_miss_rate::total 0.997238
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.overall_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_miss_rate::total 0.997238
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 60500
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 60500
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 60502.202643
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 60502.202643
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 60500
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 60500
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 60502.202643
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 60500
-system.cpu.l2cache.demand_avg_miss_latency::total 60501.385042
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 60502.202643
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 60500
-system.cpu.l2cache.overall_avg_miss_latency::total 60501.385042
-system.cpu.l2cache.blocked_cycles::no_mshrs 0
-system.cpu.l2cache.blocked_cycles::no_targets 0
-system.cpu.l2cache.blocked::no_mshrs 0
-system.cpu.l2cache.blocked::no_targets 0
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 79
-system.cpu.l2cache.ReadExReq_mshr_misses::total 79
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 227
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 227
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 55
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 55
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 227
-system.cpu.l2cache.demand_mshr_misses::cpu.data 134
-system.cpu.l2cache.demand_mshr_misses::total 361
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 227
-system.cpu.l2cache.overall_mshr_misses::cpu.data 134
-system.cpu.l2cache.overall_mshr_misses::total 361
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3989500
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3989500
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 11464000
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 11464000
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 2777500
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 2777500
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 11464000
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 6767000
-system.cpu.l2cache.demand_mshr_miss_latency::total 18231000
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 11464000
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 6767000
-system.cpu.l2cache.overall_mshr_miss_latency::total 18231000
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.995614
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.997238
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.995614
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.997238
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 50500
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 50500
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 50502.202643
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 50502.202643
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 50500
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 50500
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 50502.202643
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 50500
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 50501.385042
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 50502.202643
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 50500
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 50501.385042
-system.cpu.toL2Bus.snoop_filter.tot_requests 362
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 1
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 31247500
-system.cpu.toL2Bus.trans_dist::ReadResp 283
-system.cpu.toL2Bus.trans_dist::ReadExReq 79
-system.cpu.toL2Bus.trans_dist::ReadExResp 79
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 228
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 55
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 456
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 268
-system.cpu.toL2Bus.pkt_count::total 724
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 14592
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8576
-system.cpu.toL2Bus.pkt_size::total 23168
-system.cpu.toL2Bus.snoops 0
-system.cpu.toL2Bus.snoopTraffic 0
-system.cpu.toL2Bus.snoop_fanout::samples 362
-system.cpu.toL2Bus.snoop_fanout::mean 0.002762
-system.cpu.toL2Bus.snoop_fanout::stdev 0.052559
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00%
-system.cpu.toL2Bus.snoop_fanout::0 361 99.72% 99.72%
-system.cpu.toL2Bus.snoop_fanout::1 1 0.28% 100.00%
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::min_value 0
-system.cpu.toL2Bus.snoop_fanout::max_value 1
-system.cpu.toL2Bus.snoop_fanout::total 362
-system.cpu.toL2Bus.reqLayer0.occupancy 181000
-system.cpu.toL2Bus.reqLayer0.utilization 0.6
-system.cpu.toL2Bus.respLayer0.occupancy 342000
-system.cpu.toL2Bus.respLayer0.utilization 1.1
-system.cpu.toL2Bus.respLayer1.occupancy 201000
-system.cpu.toL2Bus.respLayer1.utilization 0.6
-system.membus.snoop_filter.tot_requests 361
-system.membus.snoop_filter.hit_single_requests 0
-system.membus.snoop_filter.hit_multi_requests 0
-system.membus.snoop_filter.tot_snoops 0
-system.membus.snoop_filter.hit_single_snoops 0
-system.membus.snoop_filter.hit_multi_snoops 0
-system.membus.pwrStateResidencyTicks::UNDEFINED 31247500
-system.membus.trans_dist::ReadResp 282
-system.membus.trans_dist::ReadExReq 79
-system.membus.trans_dist::ReadExResp 79
-system.membus.trans_dist::ReadSharedReq 282
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 722
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 722
-system.membus.pkt_count::total 722
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 23104
-system.membus.pkt_size_system.cpu.l2cache.mem_side::total 23104
-system.membus.pkt_size::total 23104
-system.membus.snoops 0
-system.membus.snoopTraffic 0
-system.membus.snoop_fanout::samples 361
-system.membus.snoop_fanout::mean 0
-system.membus.snoop_fanout::stdev 0
-system.membus.snoop_fanout::underflows 0 0.00% 0.00%
-system.membus.snoop_fanout::0 361 100.00% 100.00%
-system.membus.snoop_fanout::1 0 0.00% 100.00%
-system.membus.snoop_fanout::overflows 0 0.00% 100.00%
-system.membus.snoop_fanout::min_value 0
-system.membus.snoop_fanout::max_value 0
-system.membus.snoop_fanout::total 361
-system.membus.reqLayer0.occupancy 361500
-system.membus.reqLayer0.utilization 1.2
-system.membus.respLayer1.occupancy 1805000
-system.membus.respLayer1.utilization 5.8
-
----------- End Simulation Statistics ----------