summaryrefslogtreecommitdiff
path: root/tests/quick/se
diff options
context:
space:
mode:
Diffstat (limited to 'tests/quick/se')
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/minor-timing/config.ini877
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/minor-timing/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/minor-timing/simout15
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt763
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini873
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout15
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt1024
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini203
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout15
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt167
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini1266
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr10
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout15
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt702
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini366
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout15
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt525
-rw-r--r--tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/config.ini908
-rwxr-xr-xtests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simerr7
-rwxr-xr-xtests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simout14
-rw-r--r--tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/stats.txt1185
-rw-r--r--tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/config.ini265
-rwxr-xr-xtests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simerr3
-rwxr-xr-xtests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simout16
-rw-r--r--tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/stats.txt417
-rw-r--r--tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/config.ini432
-rwxr-xr-xtests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simerr3
-rwxr-xr-xtests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simout16
-rw-r--r--tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/stats.txt745
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/EMPTY0
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/EMPTY0
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/EMPTY0
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/EMPTY0
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/EMPTY0
37 files changed, 0 insertions, 10872 deletions
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/config.ini
deleted file mode 100644
index fcd6df11a..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/config.ini
+++ /dev/null
@@ -1,877 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=MinorCPU
-children=branchPred dcache dtb executeFuncUnits icache interrupts isa itb l2cache toL2Bus tracer workload
-branchPred=system.cpu.branchPred
-checker=Null
-clk_domain=system.cpu_clk_domain
-cpu_id=0
-decodeCycleInput=true
-decodeInputBufferSize=3
-decodeInputWidth=2
-decodeToExecuteForwardDelay=1
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-enableIdling=true
-eventq_index=0
-executeAllowEarlyMemoryIssue=true
-executeBranchDelay=1
-executeCommitLimit=2
-executeCycleInput=true
-executeFuncUnits=system.cpu.executeFuncUnits
-executeInputBufferSize=7
-executeInputWidth=2
-executeIssueLimit=2
-executeLSQMaxStoreBufferStoresPerCycle=2
-executeLSQRequestsQueueSize=1
-executeLSQStoreBufferSize=5
-executeLSQTransfersQueueSize=2
-executeMaxAccessesInMemory=2
-executeMemoryCommitLimit=1
-executeMemoryIssueLimit=1
-executeMemoryWidth=0
-executeSetTraceTimeOnCommit=true
-executeSetTraceTimeOnIssue=false
-fetch1FetchLimit=1
-fetch1LineSnapWidth=0
-fetch1LineWidth=0
-fetch1ToFetch2BackwardDelay=1
-fetch1ToFetch2ForwardDelay=1
-fetch2CycleInput=true
-fetch2InputBufferSize=2
-fetch2ToDecodeForwardDelay=1
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-system=system
-threadPolicy=RoundRobin
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.branchPred]
-type=TournamentBP
-BTBEntries=4096
-BTBTagSize=16
-RASSize=16
-choiceCtrBits=2
-choicePredictorSize=8192
-eventq_index=0
-globalCtrBits=2
-globalPredictorSize=8192
-indirectHashGHR=true
-indirectHashTargets=true
-indirectPathLength=3
-indirectSets=256
-indirectTagSize=16
-indirectWays=2
-instShiftAmt=2
-localCtrBits=2
-localHistoryTableSize=2048
-localPredictorSize=2048
-numThreads=1
-useIndirect=true
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.executeFuncUnits]
-type=MinorFUPool
-children=funcUnits0 funcUnits1 funcUnits2 funcUnits3 funcUnits4 funcUnits5 funcUnits6
-eventq_index=0
-funcUnits=system.cpu.executeFuncUnits.funcUnits0 system.cpu.executeFuncUnits.funcUnits1 system.cpu.executeFuncUnits.funcUnits2 system.cpu.executeFuncUnits.funcUnits3 system.cpu.executeFuncUnits.funcUnits4 system.cpu.executeFuncUnits.funcUnits5 system.cpu.executeFuncUnits.funcUnits6
-
-[system.cpu.executeFuncUnits.funcUnits0]
-type=MinorFU
-children=opClasses timings
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits0.opClasses
-opLat=3
-timings=system.cpu.executeFuncUnits.funcUnits0.timings
-
-[system.cpu.executeFuncUnits.funcUnits0.opClasses]
-type=MinorOpClassSet
-children=opClasses
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits0.opClasses.opClasses
-
-[system.cpu.executeFuncUnits.funcUnits0.opClasses.opClasses]
-type=MinorOpClass
-eventq_index=0
-opClass=IntAlu
-
-[system.cpu.executeFuncUnits.funcUnits0.timings]
-type=MinorFUTiming
-children=opClasses
-description=Int
-eventq_index=0
-extraAssumedLat=0
-extraCommitLat=0
-extraCommitLatExpr=Null
-mask=0
-match=0
-opClasses=system.cpu.executeFuncUnits.funcUnits0.timings.opClasses
-srcRegsRelativeLats=2
-suppress=false
-
-[system.cpu.executeFuncUnits.funcUnits0.timings.opClasses]
-type=MinorOpClassSet
-eventq_index=0
-opClasses=
-
-[system.cpu.executeFuncUnits.funcUnits1]
-type=MinorFU
-children=opClasses timings
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits1.opClasses
-opLat=3
-timings=system.cpu.executeFuncUnits.funcUnits1.timings
-
-[system.cpu.executeFuncUnits.funcUnits1.opClasses]
-type=MinorOpClassSet
-children=opClasses
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits1.opClasses.opClasses
-
-[system.cpu.executeFuncUnits.funcUnits1.opClasses.opClasses]
-type=MinorOpClass
-eventq_index=0
-opClass=IntAlu
-
-[system.cpu.executeFuncUnits.funcUnits1.timings]
-type=MinorFUTiming
-children=opClasses
-description=Int
-eventq_index=0
-extraAssumedLat=0
-extraCommitLat=0
-extraCommitLatExpr=Null
-mask=0
-match=0
-opClasses=system.cpu.executeFuncUnits.funcUnits1.timings.opClasses
-srcRegsRelativeLats=2
-suppress=false
-
-[system.cpu.executeFuncUnits.funcUnits1.timings.opClasses]
-type=MinorOpClassSet
-eventq_index=0
-opClasses=
-
-[system.cpu.executeFuncUnits.funcUnits2]
-type=MinorFU
-children=opClasses timings
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits2.opClasses
-opLat=3
-timings=system.cpu.executeFuncUnits.funcUnits2.timings
-
-[system.cpu.executeFuncUnits.funcUnits2.opClasses]
-type=MinorOpClassSet
-children=opClasses
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits2.opClasses.opClasses
-
-[system.cpu.executeFuncUnits.funcUnits2.opClasses.opClasses]
-type=MinorOpClass
-eventq_index=0
-opClass=IntMult
-
-[system.cpu.executeFuncUnits.funcUnits2.timings]
-type=MinorFUTiming
-children=opClasses
-description=Mul
-eventq_index=0
-extraAssumedLat=0
-extraCommitLat=0
-extraCommitLatExpr=Null
-mask=0
-match=0
-opClasses=system.cpu.executeFuncUnits.funcUnits2.timings.opClasses
-srcRegsRelativeLats=0
-suppress=false
-
-[system.cpu.executeFuncUnits.funcUnits2.timings.opClasses]
-type=MinorOpClassSet
-eventq_index=0
-opClasses=
-
-[system.cpu.executeFuncUnits.funcUnits3]
-type=MinorFU
-children=opClasses
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=9
-opClasses=system.cpu.executeFuncUnits.funcUnits3.opClasses
-opLat=9
-timings=
-
-[system.cpu.executeFuncUnits.funcUnits3.opClasses]
-type=MinorOpClassSet
-children=opClasses
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits3.opClasses.opClasses
-
-[system.cpu.executeFuncUnits.funcUnits3.opClasses.opClasses]
-type=MinorOpClass
-eventq_index=0
-opClass=IntDiv
-
-[system.cpu.executeFuncUnits.funcUnits4]
-type=MinorFU
-children=opClasses timings
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits4.opClasses
-opLat=6
-timings=system.cpu.executeFuncUnits.funcUnits4.timings
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses]
-type=MinorOpClassSet
-children=opClasses00 opClasses01 opClasses02 opClasses03 opClasses04 opClasses05 opClasses06 opClasses07 opClasses08 opClasses09 opClasses10 opClasses11 opClasses12 opClasses13 opClasses14 opClasses15 opClasses16 opClasses17 opClasses18 opClasses19 opClasses20 opClasses21 opClasses22 opClasses23 opClasses24 opClasses25
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses00 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses01 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses02 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses03 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses04 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses05 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses06 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses07 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses08 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses09 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses10 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses11 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses12 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses13 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses14 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses15 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses16 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses17 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses18 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses19 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses20 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses21 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses22 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses23 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses24 system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses25
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses00]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatAdd
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses01]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatCmp
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses02]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatCvt
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses03]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatMult
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses04]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatDiv
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses05]
-type=MinorOpClass
-eventq_index=0
-opClass=FloatSqrt
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses06]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdAdd
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses07]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdAddAcc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses08]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdAlu
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses09]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdCmp
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses10]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdCvt
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses11]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdMisc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses12]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdMult
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses13]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdMultAcc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses14]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdShift
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses15]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdShiftAcc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses16]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdSqrt
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses17]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatAdd
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses18]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatAlu
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses19]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatCmp
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses20]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatCvt
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses21]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatDiv
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses22]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatMisc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses23]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatMult
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses24]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatMultAcc
-
-[system.cpu.executeFuncUnits.funcUnits4.opClasses.opClasses25]
-type=MinorOpClass
-eventq_index=0
-opClass=SimdFloatSqrt
-
-[system.cpu.executeFuncUnits.funcUnits4.timings]
-type=MinorFUTiming
-children=opClasses
-description=FloatSimd
-eventq_index=0
-extraAssumedLat=0
-extraCommitLat=0
-extraCommitLatExpr=Null
-mask=0
-match=0
-opClasses=system.cpu.executeFuncUnits.funcUnits4.timings.opClasses
-srcRegsRelativeLats=2
-suppress=false
-
-[system.cpu.executeFuncUnits.funcUnits4.timings.opClasses]
-type=MinorOpClassSet
-eventq_index=0
-opClasses=
-
-[system.cpu.executeFuncUnits.funcUnits5]
-type=MinorFU
-children=opClasses timings
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits5.opClasses
-opLat=1
-timings=system.cpu.executeFuncUnits.funcUnits5.timings
-
-[system.cpu.executeFuncUnits.funcUnits5.opClasses]
-type=MinorOpClassSet
-children=opClasses0 opClasses1
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits5.opClasses.opClasses0 system.cpu.executeFuncUnits.funcUnits5.opClasses.opClasses1
-
-[system.cpu.executeFuncUnits.funcUnits5.opClasses.opClasses0]
-type=MinorOpClass
-eventq_index=0
-opClass=MemRead
-
-[system.cpu.executeFuncUnits.funcUnits5.opClasses.opClasses1]
-type=MinorOpClass
-eventq_index=0
-opClass=MemWrite
-
-[system.cpu.executeFuncUnits.funcUnits5.timings]
-type=MinorFUTiming
-children=opClasses
-description=Mem
-eventq_index=0
-extraAssumedLat=2
-extraCommitLat=0
-extraCommitLatExpr=Null
-mask=0
-match=0
-opClasses=system.cpu.executeFuncUnits.funcUnits5.timings.opClasses
-srcRegsRelativeLats=1
-suppress=false
-
-[system.cpu.executeFuncUnits.funcUnits5.timings.opClasses]
-type=MinorOpClassSet
-eventq_index=0
-opClasses=
-
-[system.cpu.executeFuncUnits.funcUnits6]
-type=MinorFU
-children=opClasses
-cantForwardFromFUIndices=
-eventq_index=0
-issueLat=1
-opClasses=system.cpu.executeFuncUnits.funcUnits6.opClasses
-opLat=1
-timings=
-
-[system.cpu.executeFuncUnits.funcUnits6.opClasses]
-type=MinorOpClassSet
-children=opClasses0 opClasses1
-eventq_index=0
-opClasses=system.cpu.executeFuncUnits.funcUnits6.opClasses.opClasses0 system.cpu.executeFuncUnits.funcUnits6.opClasses.opClasses1
-
-[system.cpu.executeFuncUnits.funcUnits6.opClasses.opClasses0]
-type=MinorOpClass
-eventq_index=0
-opClass=IprAccess
-
-[system.cpu.executeFuncUnits.funcUnits6.opClasses.opClasses1]
-type=MinorOpClass
-eventq_index=0
-opClass=InstPrefetch
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=20
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port
-slave=system.system_port system.cpu.l2cache.mem_side
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:134217727:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simerr
deleted file mode 100755
index bbcd9d751..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (128 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simout
deleted file mode 100755
index 321da6ba3..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/simout
+++ /dev/null
@@ -1,15 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Oct 11 2016 00:00:58
-gem5 started Oct 13 2016 20:19:43
-gem5 executing on e108600-lin, pid 28041
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/alpha/linux/minor-timing
-
-Global frequency set at 1000000000000 ticks per second
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 41083000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt
deleted file mode 100644
index 879f02028..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt
+++ /dev/null
@@ -1,763 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000041 # Number of seconds simulated
-sim_ticks 41083000 # Number of ticks simulated
-final_tick 41083000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 202272 # Simulator instruction rate (inst/s)
-host_op_rate 202193 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1294825774 # Simulator tick rate (ticks/s)
-host_mem_usage 252636 # Number of bytes of host memory used
-host_seconds 0.03 # Real time elapsed on the host
-sim_insts 6413 # Number of instructions simulated
-sim_ops 6413 # Number of ops (including micro ops) simulated
-system.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.physmem.bytes_read::cpu.inst 23232 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 10816 # Number of bytes read from this memory
-system.physmem.bytes_read::total 34048 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 23232 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 23232 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 363 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 169 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 532 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 565489375 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 263271913 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 828761288 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 565489375 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 565489375 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 565489375 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 263271913 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 828761288 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 532 # Number of read requests accepted
-system.physmem.writeReqs 0 # Number of write requests accepted
-system.physmem.readBursts 532 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 0 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 34048 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 0 # Total number of bytes read from write queue
-system.physmem.bytesWritten 0 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 34048 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 0 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 0 # Number of DRAM read bursts serviced by the write queue
-system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
-system.physmem.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 73 # Per bank write bursts
-system.physmem.perBankRdBursts::1 39 # Per bank write bursts
-system.physmem.perBankRdBursts::2 36 # Per bank write bursts
-system.physmem.perBankRdBursts::3 54 # Per bank write bursts
-system.physmem.perBankRdBursts::4 45 # Per bank write bursts
-system.physmem.perBankRdBursts::5 21 # Per bank write bursts
-system.physmem.perBankRdBursts::6 1 # Per bank write bursts
-system.physmem.perBankRdBursts::7 5 # Per bank write bursts
-system.physmem.perBankRdBursts::8 0 # Per bank write bursts
-system.physmem.perBankRdBursts::9 1 # Per bank write bursts
-system.physmem.perBankRdBursts::10 21 # Per bank write bursts
-system.physmem.perBankRdBursts::11 29 # Per bank write bursts
-system.physmem.perBankRdBursts::12 19 # Per bank write bursts
-system.physmem.perBankRdBursts::13 127 # Per bank write bursts
-system.physmem.perBankRdBursts::14 47 # Per bank write bursts
-system.physmem.perBankRdBursts::15 14 # Per bank write bursts
-system.physmem.perBankWrBursts::0 0 # Per bank write bursts
-system.physmem.perBankWrBursts::1 0 # Per bank write bursts
-system.physmem.perBankWrBursts::2 0 # Per bank write bursts
-system.physmem.perBankWrBursts::3 0 # Per bank write bursts
-system.physmem.perBankWrBursts::4 0 # Per bank write bursts
-system.physmem.perBankWrBursts::5 0 # Per bank write bursts
-system.physmem.perBankWrBursts::6 0 # Per bank write bursts
-system.physmem.perBankWrBursts::7 0 # Per bank write bursts
-system.physmem.perBankWrBursts::8 0 # Per bank write bursts
-system.physmem.perBankWrBursts::9 0 # Per bank write bursts
-system.physmem.perBankWrBursts::10 0 # Per bank write bursts
-system.physmem.perBankWrBursts::11 0 # Per bank write bursts
-system.physmem.perBankWrBursts::12 0 # Per bank write bursts
-system.physmem.perBankWrBursts::13 0 # Per bank write bursts
-system.physmem.perBankWrBursts::14 0 # Per bank write bursts
-system.physmem.perBankWrBursts::15 0 # Per bank write bursts
-system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
-system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 40972000 # Total gap between requests
-system.physmem.readPktSize::0 0 # Read request sizes (log2)
-system.physmem.readPktSize::1 0 # Read request sizes (log2)
-system.physmem.readPktSize::2 0 # Read request sizes (log2)
-system.physmem.readPktSize::3 0 # Read request sizes (log2)
-system.physmem.readPktSize::4 0 # Read request sizes (log2)
-system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 532 # Read request sizes (log2)
-system.physmem.writePktSize::0 0 # Write request sizes (log2)
-system.physmem.writePktSize::1 0 # Write request sizes (log2)
-system.physmem.writePktSize::2 0 # Write request sizes (log2)
-system.physmem.writePktSize::3 0 # Write request sizes (log2)
-system.physmem.writePktSize::4 0 # Write request sizes (log2)
-system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 443 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 85 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 4 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::15 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::16 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::17 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::28 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::29 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::30 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::31 0 # What read queue length does an incoming req see
-system.physmem.wrQLenPdf::0 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::1 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::2 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::3 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::4 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::5 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::6 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::7 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::8 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::9 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::10 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::11 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::12 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::13 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::14 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::56 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::57 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::58 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::59 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::60 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 91 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 363.604396 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 235.588514 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 321.826485 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 22 24.18% 24.18% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 22 24.18% 48.35% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 13 14.29% 62.64% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 8 8.79% 71.43% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 5 5.49% 76.92% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 4 4.40% 81.32% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 3 3.30% 84.62% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 8 8.79% 93.41% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 6 6.59% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 91 # Bytes accessed per row activation
-system.physmem.totQLat 6584250 # Total ticks spent queuing
-system.physmem.totMemAccLat 16559250 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 2660000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 12376.41 # Average queueing delay per DRAM burst
-system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 31126.41 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 828.76 # Average DRAM read bandwidth in MiByte/s
-system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 828.76 # Average system read bandwidth in MiByte/s
-system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
-system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 6.47 # Data bus utilization in percentage
-system.physmem.busUtilRead 6.47 # Data bus utilization in percentage for reads
-system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.18 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 436 # Number of row buffer hits during reads
-system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 81.95 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 77015.04 # Average gap between requests
-system.physmem.pageHitRate 81.95 # Row buffer hit rate, read and write combined
-system.physmem_0.actEnergy 264180 # Energy for activate commands per rank (pJ)
-system.physmem_0.preEnergy 136620 # Energy for precharge commands per rank (pJ)
-system.physmem_0.readEnergy 1956360 # Energy for read commands per rank (pJ)
-system.physmem_0.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_0.refreshEnergy 3073200.000000 # Energy for refresh commands per rank (pJ)
-system.physmem_0.actBackEnergy 3932430 # Energy for active background per rank (pJ)
-system.physmem_0.preBackEnergy 68640 # Energy for precharge background per rank (pJ)
-system.physmem_0.actPowerDownEnergy 13617300 # Energy for active power-down per rank (pJ)
-system.physmem_0.prePowerDownEnergy 928800 # Energy for precharge power-down per rank (pJ)
-system.physmem_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.physmem_0.totalEnergy 23977530 # Total energy per rank (pJ)
-system.physmem_0.averagePower 583.625643 # Core power per rank (mW)
-system.physmem_0.totalIdleTime 32009500 # Total Idle time Per DRAM Rank
-system.physmem_0.memoryStateTime::IDLE 39500 # Time in different power states
-system.physmem_0.memoryStateTime::REF 1300000 # Time in different power states
-system.physmem_0.memoryStateTime::SREF 0 # Time in different power states
-system.physmem_0.memoryStateTime::PRE_PDN 2418500 # Time in different power states
-system.physmem_0.memoryStateTime::ACT 7463000 # Time in different power states
-system.physmem_0.memoryStateTime::ACT_PDN 29862000 # Time in different power states
-system.physmem_1.actEnergy 421260 # Energy for activate commands per rank (pJ)
-system.physmem_1.preEnergy 208725 # Energy for precharge commands per rank (pJ)
-system.physmem_1.readEnergy 1842120 # Energy for read commands per rank (pJ)
-system.physmem_1.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_1.refreshEnergy 3073200.000000 # Energy for refresh commands per rank (pJ)
-system.physmem_1.actBackEnergy 4023060 # Energy for active background per rank (pJ)
-system.physmem_1.preBackEnergy 174720 # Energy for precharge background per rank (pJ)
-system.physmem_1.actPowerDownEnergy 14292180 # Energy for active power-down per rank (pJ)
-system.physmem_1.prePowerDownEnergy 178080 # Energy for precharge power-down per rank (pJ)
-system.physmem_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.physmem_1.totalEnergy 24213345 # Total energy per rank (pJ)
-system.physmem_1.averagePower 589.365503 # Core power per rank (mW)
-system.physmem_1.totalIdleTime 31744250 # Total Idle time Per DRAM Rank
-system.physmem_1.memoryStateTime::IDLE 288500 # Time in different power states
-system.physmem_1.memoryStateTime::REF 1300000 # Time in different power states
-system.physmem_1.memoryStateTime::SREF 0 # Time in different power states
-system.physmem_1.memoryStateTime::PRE_PDN 464250 # Time in different power states
-system.physmem_1.memoryStateTime::ACT 7679500 # Time in different power states
-system.physmem_1.memoryStateTime::ACT_PDN 31350750 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.branchPred.lookups 2002 # Number of BP lookups
-system.cpu.branchPred.condPredicted 1237 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 378 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 1602 # Number of BTB lookups
-system.cpu.branchPred.BTBHits 377 # Number of BTB hits
-system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu.branchPred.BTBHitPct 23.533084 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 234 # Number of times the RAS was used to get a target.
-system.cpu.branchPred.RASInCorrect 14 # Number of incorrect RAS predictions.
-system.cpu.branchPred.indirectLookups 333 # Number of indirect predictor lookups.
-system.cpu.branchPred.indirectHits 14 # Number of indirect target hits.
-system.cpu.branchPred.indirectMisses 319 # Number of indirect misses.
-system.cpu.branchPredindirectMispredicted 114 # Number of mispredicted indirect branches.
-system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1365 # DTB read hits
-system.cpu.dtb.read_misses 11 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1376 # DTB read accesses
-system.cpu.dtb.write_hits 884 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 887 # DTB write accesses
-system.cpu.dtb.data_hits 2249 # DTB hits
-system.cpu.dtb.data_misses 14 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2263 # DTB accesses
-system.cpu.itb.fetch_hits 2685 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 2702 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 82166 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6413 # Number of instructions committed
-system.cpu.committedOps 6413 # Number of ops (including micro ops) committed
-system.cpu.discardedOps 1093 # Number of ops (including micro ops) which were discarded before commit
-system.cpu.numFetchSuspends 0 # Number of times Execute suspended instruction fetching
-system.cpu.cpi 12.812412 # CPI: cycles per instruction
-system.cpu.ipc 0.078049 # IPC: instructions per cycle
-system.cpu.op_class_0::No_OpClass 19 0.30% 0.30% # Class of committed instruction
-system.cpu.op_class_0::IntAlu 4331 67.53% 67.83% # Class of committed instruction
-system.cpu.op_class_0::IntMult 1 0.02% 67.85% # Class of committed instruction
-system.cpu.op_class_0::IntDiv 0 0.00% 67.85% # Class of committed instruction
-system.cpu.op_class_0::FloatAdd 2 0.03% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatCmp 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatCvt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatMult 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatMultAcc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatDiv 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatMisc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::FloatSqrt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdAdd 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdAddAcc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdAlu 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdCmp 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdCvt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdMisc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdMult 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdMultAcc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdShift 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdShiftAcc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdSqrt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatAdd 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatAlu 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatCmp 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatCvt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatDiv 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatMisc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatMult 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatMultAcc 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::SimdFloatSqrt 0 0.00% 67.88% # Class of committed instruction
-system.cpu.op_class_0::MemRead 1191 18.57% 86.45% # Class of committed instruction
-system.cpu.op_class_0::MemWrite 861 13.43% 99.88% # Class of committed instruction
-system.cpu.op_class_0::FloatMemRead 1 0.02% 99.89% # Class of committed instruction
-system.cpu.op_class_0::FloatMemWrite 7 0.11% 100.00% # Class of committed instruction
-system.cpu.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
-system.cpu.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu.op_class_0::total 6413 # Class of committed instruction
-system.cpu.tickCycles 12637 # Number of cycles that the object actually ticked
-system.cpu.idleCycles 69529 # Total number of cycles that the object has spent stopped
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 103.987673 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 1990 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 169 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 11.775148 # Average number of references to valid blocks.
-system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 103.987673 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.025388 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.025388 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 169 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 18 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 151 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.041260 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 4591 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 4591 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.ReadReq_hits::cpu.data 1250 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1250 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 740 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 740 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 1990 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 1990 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 1990 # number of overall hits
-system.cpu.dcache.overall_hits::total 1990 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 96 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 96 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 125 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 125 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 221 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 221 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 221 # number of overall misses
-system.cpu.dcache.overall_misses::total 221 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 8545500 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 8545500 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 10428500 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 10428500 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 18974000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 18974000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 18974000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 18974000 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 1346 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 1346 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2211 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2211 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2211 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2211 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.071322 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.071322 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.144509 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.144509 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.099955 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.099955 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.099955 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.099955 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 89015.625000 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 89015.625000 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 83428 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 83428 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 85855.203620 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 85855.203620 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 85855.203620 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 85855.203620 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 52 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 52 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 52 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 52 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 52 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 52 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 96 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 96 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 73 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 73 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 169 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 169 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 169 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 169 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 8449500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 8449500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6089000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 6089000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 14538500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 14538500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 14538500 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 14538500 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.071322 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.071322 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.076436 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.076436 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.076436 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.076436 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 88015.625000 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 88015.625000 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 83410.958904 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 83410.958904 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 86026.627219 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 86026.627219 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 86026.627219 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 86026.627219 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 175.158440 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 2321 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 364 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 6.376374 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 175.158440 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.085527 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.085527 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 364 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 93 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 271 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.177734 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 5734 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 5734 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 2321 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 2321 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 2321 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 2321 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 2321 # number of overall hits
-system.cpu.icache.overall_hits::total 2321 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 364 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 364 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 364 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 364 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 364 # number of overall misses
-system.cpu.icache.overall_misses::total 364 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 30321500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 30321500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 30321500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 30321500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 30321500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 30321500 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 2685 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 2685 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 2685 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 2685 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 2685 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 2685 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.135568 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.135568 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.135568 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.135568 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.135568 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.135568 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 83300.824176 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 83300.824176 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 83300.824176 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 83300.824176 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 83300.824176 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 83300.824176 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 364 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 364 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 364 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 364 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 364 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 364 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 29957500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 29957500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 29957500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 29957500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 29957500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 29957500 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.135568 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.135568 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.135568 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.135568 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.135568 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.135568 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 82300.824176 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 82300.824176 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 82300.824176 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 82300.824176 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 82300.824176 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 82300.824176 # average overall mshr miss latency
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 279.188916 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 532 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 0.001880 # Average number of references to valid blocks.
-system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 175.158050 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 104.030866 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.005345 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.003175 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.008520 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 532 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 110 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 422 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.016235 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 4796 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 4796 # Number of data accesses
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1 # number of ReadCleanReq hits
-system.cpu.l2cache.ReadCleanReq_hits::total 1 # number of ReadCleanReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 1 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 1 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1 # number of overall hits
-system.cpu.l2cache.ReadExReq_misses::cpu.data 73 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 73 # number of ReadExReq misses
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 363 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadCleanReq_misses::total 363 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 96 # number of ReadSharedReq misses
-system.cpu.l2cache.ReadSharedReq_misses::total 96 # number of ReadSharedReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 363 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 169 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 532 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 363 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 169 # number of overall misses
-system.cpu.l2cache.overall_misses::total 532 # number of overall misses
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 5979500 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 5979500 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 29400000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 29400000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 8304000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 8304000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 29400000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 14283500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 43683500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 29400000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 14283500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 43683500 # number of overall miss cycles
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 73 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 73 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 364 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::total 364 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 96 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::total 96 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 364 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 169 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 533 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 364 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 169 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 533 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.997253 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.997253 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.997253 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.998124 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.997253 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.998124 # miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 81910.958904 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 81910.958904 # average ReadExReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 80991.735537 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 80991.735537 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 86500 # average ReadSharedReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 86500 # average ReadSharedReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 80991.735537 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 84517.751479 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 82111.842105 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 80991.735537 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 84517.751479 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 82111.842105 # average overall miss latency
-system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 73 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 73 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 363 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 363 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 96 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 96 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 363 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 169 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 532 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 363 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 169 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 532 # number of overall MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5249500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5249500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 25770000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 25770000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 7344000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 7344000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 25770000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 12593500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 38363500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 25770000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 12593500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 38363500 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.997253 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.997253 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.997253 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.998124 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.997253 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.998124 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 71910.958904 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 71910.958904 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 70991.735537 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 70991.735537 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 76500 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 76500 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 70991.735537 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 74517.751479 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 72111.842105 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 70991.735537 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 74517.751479 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 72111.842105 # average overall mshr miss latency
-system.cpu.toL2Bus.snoop_filter.tot_requests 533 # Total number of requests made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 1 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.cpu.toL2Bus.trans_dist::ReadResp 460 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 73 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 73 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 364 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 96 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 728 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 338 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 1066 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 23296 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10816 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size::total 34112 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.snoops 0 # Total snoops (count)
-system.cpu.toL2Bus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.cpu.toL2Bus.snoop_fanout::samples 533 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::mean 0.001876 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::stdev 0.043315 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::0 532 99.81% 99.81% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::1 1 0.19% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::min_value 0 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::total 533 # Request fanout histogram
-system.cpu.toL2Bus.reqLayer0.occupancy 266500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.reqLayer0.utilization 0.6 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 546000 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 1.3 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 253500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer1.utilization 0.6 # Layer utilization (%)
-system.membus.snoop_filter.tot_requests 532 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 41083000 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadResp 459 # Transaction distribution
-system.membus.trans_dist::ReadExReq 73 # Transaction distribution
-system.membus.trans_dist::ReadExResp 73 # Transaction distribution
-system.membus.trans_dist::ReadSharedReq 459 # Transaction distribution
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1064 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 1064 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 34048 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 34048 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 532 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 532 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 532 # Request fanout histogram
-system.membus.reqLayer0.occupancy 607000 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 1.5 # Layer utilization (%)
-system.membus.respLayer1.occupancy 2825000 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 6.9 # Layer utilization (%)
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini
deleted file mode 100644
index 2a35cf845..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini
+++ /dev/null
@@ -1,873 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=DerivO3CPU
-children=branchPred dcache dtb fuPool icache interrupts isa itb l2cache toL2Bus tracer workload
-LFSTSize=1024
-LQEntries=32
-LSQCheckLoads=true
-LSQDepCheckShift=4
-SQEntries=32
-SSITSize=1024
-activity=0
-backComSize=5
-branchPred=system.cpu.branchPred
-cachePorts=200
-checker=Null
-clk_domain=system.cpu_clk_domain
-commitToDecodeDelay=1
-commitToFetchDelay=1
-commitToIEWDelay=1
-commitToRenameDelay=1
-commitWidth=8
-cpu_id=0
-decodeToFetchDelay=1
-decodeToRenameDelay=1
-decodeWidth=8
-default_p_state=UNDEFINED
-dispatchWidth=8
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-fetchBufferSize=64
-fetchQueueSize=32
-fetchToDecodeDelay=1
-fetchTrapLatency=1
-fetchWidth=8
-forwardComSize=5
-fuPool=system.cpu.fuPool
-function_trace=false
-function_trace_start=0
-iewToCommitDelay=1
-iewToDecodeDelay=1
-iewToFetchDelay=1
-iewToRenameDelay=1
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-issueToExecuteDelay=1
-issueWidth=8
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-needsTSO=false
-numIQEntries=64
-numPhysCCRegs=0
-numPhysFloatRegs=256
-numPhysIntRegs=256
-numROBEntries=192
-numRobs=1
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-renameToDecodeDelay=1
-renameToFetchDelay=1
-renameToIEWDelay=2
-renameToROBDelay=1
-renameWidth=8
-simpoint_start_insts=
-smtCommitPolicy=RoundRobin
-smtFetchPolicy=SingleThread
-smtIQPolicy=Partitioned
-smtIQThreshold=100
-smtLSQPolicy=Partitioned
-smtLSQThreshold=100
-smtNumFetchingThreads=1
-smtROBPolicy=Partitioned
-smtROBThreshold=100
-socket_id=0
-squashWidth=8
-store_set_clear_period=250000
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-trapLatency=13
-wbWidth=8
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.branchPred]
-type=TournamentBP
-BTBEntries=4096
-BTBTagSize=16
-RASSize=16
-choiceCtrBits=2
-choicePredictorSize=8192
-eventq_index=0
-globalCtrBits=2
-globalPredictorSize=8192
-indirectHashGHR=true
-indirectHashTargets=true
-indirectPathLength=3
-indirectSets=256
-indirectTagSize=16
-indirectWays=2
-instShiftAmt=2
-localCtrBits=2
-localHistoryTableSize=2048
-localPredictorSize=2048
-numThreads=1
-useIndirect=true
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tag_latency=2
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-tag_latency=2
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.fuPool]
-type=FUPool
-children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
-FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
-eventq_index=0
-
-[system.cpu.fuPool.FUList0]
-type=FUDesc
-children=opList
-count=6
-eventq_index=0
-opList=system.cpu.fuPool.FUList0.opList
-
-[system.cpu.fuPool.FUList0.opList]
-type=OpDesc
-eventq_index=0
-opClass=IntAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList1]
-type=FUDesc
-children=opList0 opList1
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
-
-[system.cpu.fuPool.FUList1.opList0]
-type=OpDesc
-eventq_index=0
-opClass=IntMult
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList1.opList1]
-type=OpDesc
-eventq_index=0
-opClass=IntDiv
-opLat=20
-pipelined=false
-
-[system.cpu.fuPool.FUList2]
-type=FUDesc
-children=opList0 opList1 opList2
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
-
-[system.cpu.fuPool.FUList2.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatAdd
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatCmp
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatCvt
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList3]
-type=FUDesc
-children=opList0 opList1 opList2 opList3 opList4
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2 system.cpu.fuPool.FUList3.opList3 system.cpu.fuPool.FUList3.opList4
-
-[system.cpu.fuPool.FUList3.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatMult
-opLat=4
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMultAcc
-opLat=5
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMisc
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatDiv
-opLat=12
-pipelined=false
-
-[system.cpu.fuPool.FUList3.opList4]
-type=OpDesc
-eventq_index=0
-opClass=FloatSqrt
-opLat=24
-pipelined=false
-
-[system.cpu.fuPool.FUList4]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList4.opList0 system.cpu.fuPool.FUList4.opList1
-
-[system.cpu.fuPool.FUList4.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList4.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5]
-type=FUDesc
-children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
-
-[system.cpu.fuPool.FUList5.opList00]
-type=OpDesc
-eventq_index=0
-opClass=SimdAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList01]
-type=OpDesc
-eventq_index=0
-opClass=SimdAddAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList02]
-type=OpDesc
-eventq_index=0
-opClass=SimdAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList03]
-type=OpDesc
-eventq_index=0
-opClass=SimdCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList04]
-type=OpDesc
-eventq_index=0
-opClass=SimdCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList05]
-type=OpDesc
-eventq_index=0
-opClass=SimdMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList06]
-type=OpDesc
-eventq_index=0
-opClass=SimdMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList07]
-type=OpDesc
-eventq_index=0
-opClass=SimdMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList08]
-type=OpDesc
-eventq_index=0
-opClass=SimdShift
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList09]
-type=OpDesc
-eventq_index=0
-opClass=SimdShiftAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList10]
-type=OpDesc
-eventq_index=0
-opClass=SimdSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList11]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList12]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList13]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList14]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList15]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatDiv
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList16]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList17]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList18]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList19]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList6.opList0 system.cpu.fuPool.FUList6.opList1
-
-[system.cpu.fuPool.FUList6.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7]
-type=FUDesc
-children=opList0 opList1 opList2 opList3
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1 system.cpu.fuPool.FUList7.opList2 system.cpu.fuPool.FUList7.opList3
-
-[system.cpu.fuPool.FUList7.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList1]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList8]
-type=FUDesc
-children=opList
-count=1
-eventq_index=0
-opList=system.cpu.fuPool.FUList8.opList
-
-[system.cpu.fuPool.FUList8.opList]
-type=OpDesc
-eventq_index=0
-opClass=IprAccess
-opLat=3
-pipelined=false
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tag_latency=2
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-tag_latency=2
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=20
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tag_latency=20
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=20
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-tag_latency=20
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port
-slave=system.system_port system.cpu.l2cache.mem_side
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:134217727:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr
deleted file mode 100755
index bbcd9d751..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (128 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout
deleted file mode 100755
index 06bbc9f54..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout
+++ /dev/null
@@ -1,15 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Nov 29 2016 18:06:09
-gem5 started Nov 29 2016 18:06:29
-gem5 executing on zizzer, pid 27582
-command line: /z/powerjg/gem5-upstream/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing -re /z/powerjg/gem5-upstream/tests/testing/../run.py quick/se/00.hello/alpha/linux/o3-timing
-
-Global frequency set at 1000000000000 ticks per second
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 23776000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
deleted file mode 100644
index e67968857..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
+++ /dev/null
@@ -1,1024 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000024 # Number of seconds simulated
-sim_ticks 23776000 # Number of ticks simulated
-final_tick 23776000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 135386 # Simulator instruction rate (inst/s)
-host_op_rate 135348 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 503875461 # Simulator tick rate (ticks/s)
-host_mem_usage 253920 # Number of bytes of host memory used
-host_seconds 0.05 # Real time elapsed on the host
-sim_insts 6385 # Number of instructions simulated
-sim_ops 6385 # Number of ops (including micro ops) simulated
-system.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.physmem.bytes_read::cpu.inst 19904 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 11072 # Number of bytes read from this memory
-system.physmem.bytes_read::total 30976 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 19904 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 19904 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 311 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 173 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 484 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 837146703 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 465679677 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1302826380 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 837146703 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 837146703 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 837146703 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 465679677 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1302826380 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 484 # Number of read requests accepted
-system.physmem.writeReqs 0 # Number of write requests accepted
-system.physmem.readBursts 484 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 0 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 30976 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 0 # Total number of bytes read from write queue
-system.physmem.bytesWritten 0 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 30976 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 0 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 0 # Number of DRAM read bursts serviced by the write queue
-system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
-system.physmem.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 69 # Per bank write bursts
-system.physmem.perBankRdBursts::1 32 # Per bank write bursts
-system.physmem.perBankRdBursts::2 32 # Per bank write bursts
-system.physmem.perBankRdBursts::3 47 # Per bank write bursts
-system.physmem.perBankRdBursts::4 42 # Per bank write bursts
-system.physmem.perBankRdBursts::5 20 # Per bank write bursts
-system.physmem.perBankRdBursts::6 1 # Per bank write bursts
-system.physmem.perBankRdBursts::7 3 # Per bank write bursts
-system.physmem.perBankRdBursts::8 0 # Per bank write bursts
-system.physmem.perBankRdBursts::9 1 # Per bank write bursts
-system.physmem.perBankRdBursts::10 22 # Per bank write bursts
-system.physmem.perBankRdBursts::11 25 # Per bank write bursts
-system.physmem.perBankRdBursts::12 14 # Per bank write bursts
-system.physmem.perBankRdBursts::13 118 # Per bank write bursts
-system.physmem.perBankRdBursts::14 45 # Per bank write bursts
-system.physmem.perBankRdBursts::15 13 # Per bank write bursts
-system.physmem.perBankWrBursts::0 0 # Per bank write bursts
-system.physmem.perBankWrBursts::1 0 # Per bank write bursts
-system.physmem.perBankWrBursts::2 0 # Per bank write bursts
-system.physmem.perBankWrBursts::3 0 # Per bank write bursts
-system.physmem.perBankWrBursts::4 0 # Per bank write bursts
-system.physmem.perBankWrBursts::5 0 # Per bank write bursts
-system.physmem.perBankWrBursts::6 0 # Per bank write bursts
-system.physmem.perBankWrBursts::7 0 # Per bank write bursts
-system.physmem.perBankWrBursts::8 0 # Per bank write bursts
-system.physmem.perBankWrBursts::9 0 # Per bank write bursts
-system.physmem.perBankWrBursts::10 0 # Per bank write bursts
-system.physmem.perBankWrBursts::11 0 # Per bank write bursts
-system.physmem.perBankWrBursts::12 0 # Per bank write bursts
-system.physmem.perBankWrBursts::13 0 # Per bank write bursts
-system.physmem.perBankWrBursts::14 0 # Per bank write bursts
-system.physmem.perBankWrBursts::15 0 # Per bank write bursts
-system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
-system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 23381000 # Total gap between requests
-system.physmem.readPktSize::0 0 # Read request sizes (log2)
-system.physmem.readPktSize::1 0 # Read request sizes (log2)
-system.physmem.readPktSize::2 0 # Read request sizes (log2)
-system.physmem.readPktSize::3 0 # Read request sizes (log2)
-system.physmem.readPktSize::4 0 # Read request sizes (log2)
-system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 484 # Read request sizes (log2)
-system.physmem.writePktSize::0 0 # Write request sizes (log2)
-system.physmem.writePktSize::1 0 # Write request sizes (log2)
-system.physmem.writePktSize::2 0 # Write request sizes (log2)
-system.physmem.writePktSize::3 0 # Write request sizes (log2)
-system.physmem.writePktSize::4 0 # Write request sizes (log2)
-system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 260 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 140 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 52 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 21 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 11 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::15 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::16 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::17 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::28 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::29 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::30 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::31 0 # What read queue length does an incoming req see
-system.physmem.wrQLenPdf::0 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::1 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::2 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::3 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::4 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::5 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::6 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::7 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::8 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::9 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::10 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::11 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::12 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::13 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::14 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::56 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::57 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::58 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::59 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::60 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 89 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 347.325843 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 230.027877 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 312.328054 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 21 23.60% 23.60% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 22 24.72% 48.31% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 15 16.85% 65.17% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 9 10.11% 75.28% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 6 6.74% 82.02% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 3 3.37% 85.39% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 2 2.25% 87.64% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 1 1.12% 88.76% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 10 11.24% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 89 # Bytes accessed per row activation
-system.physmem.totQLat 8020750 # Total ticks spent queuing
-system.physmem.totMemAccLat 17095750 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 2420000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 16571.80 # Average queueing delay per DRAM burst
-system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 35321.80 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1302.83 # Average DRAM read bandwidth in MiByte/s
-system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1302.83 # Average system read bandwidth in MiByte/s
-system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
-system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 10.18 # Data bus utilization in percentage
-system.physmem.busUtilRead 10.18 # Data bus utilization in percentage for reads
-system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.82 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 394 # Number of row buffer hits during reads
-system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 81.40 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 48307.85 # Average gap between requests
-system.physmem.pageHitRate 81.40 # Row buffer hit rate, read and write combined
-system.physmem_0.actEnergy 242760 # Energy for activate commands per rank (pJ)
-system.physmem_0.preEnergy 125235 # Energy for precharge commands per rank (pJ)
-system.physmem_0.readEnergy 1756440 # Energy for read commands per rank (pJ)
-system.physmem_0.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_0.refreshEnergy 1843920.000000 # Energy for refresh commands per rank (pJ)
-system.physmem_0.actBackEnergy 3000480 # Energy for active background per rank (pJ)
-system.physmem_0.preBackEnergy 47040 # Energy for precharge background per rank (pJ)
-system.physmem_0.actPowerDownEnergy 7630020 # Energy for active power-down per rank (pJ)
-system.physmem_0.prePowerDownEnergy 131040 # Energy for precharge power-down per rank (pJ)
-system.physmem_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.physmem_0.totalEnergy 14776935 # Total energy per rank (pJ)
-system.physmem_0.averagePower 621.499816 # Core power per rank (mW)
-system.physmem_0.totalIdleTime 16971750 # Total Idle time Per DRAM Rank
-system.physmem_0.memoryStateTime::IDLE 40500 # Time in different power states
-system.physmem_0.memoryStateTime::REF 780000 # Time in different power states
-system.physmem_0.memoryStateTime::SREF 0 # Time in different power states
-system.physmem_0.memoryStateTime::PRE_PDN 340500 # Time in different power states
-system.physmem_0.memoryStateTime::ACT 5886000 # Time in different power states
-system.physmem_0.memoryStateTime::ACT_PDN 16729000 # Time in different power states
-system.physmem_1.actEnergy 399840 # Energy for activate commands per rank (pJ)
-system.physmem_1.preEnergy 212520 # Energy for precharge commands per rank (pJ)
-system.physmem_1.readEnergy 1699320 # Energy for read commands per rank (pJ)
-system.physmem_1.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_1.refreshEnergy 1843920.000000 # Energy for refresh commands per rank (pJ)
-system.physmem_1.actBackEnergy 2975400 # Energy for active background per rank (pJ)
-system.physmem_1.preBackEnergy 130080 # Energy for precharge background per rank (pJ)
-system.physmem_1.actPowerDownEnergy 7630590 # Energy for active power-down per rank (pJ)
-system.physmem_1.prePowerDownEnergy 68640 # Energy for precharge power-down per rank (pJ)
-system.physmem_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.physmem_1.totalEnergy 14960310 # Total energy per rank (pJ)
-system.physmem_1.averagePower 629.212344 # Core power per rank (mW)
-system.physmem_1.totalIdleTime 16765250 # Total Idle time Per DRAM Rank
-system.physmem_1.memoryStateTime::IDLE 214000 # Time in different power states
-system.physmem_1.memoryStateTime::REF 780000 # Time in different power states
-system.physmem_1.memoryStateTime::SREF 0 # Time in different power states
-system.physmem_1.memoryStateTime::PRE_PDN 178500 # Time in different power states
-system.physmem_1.memoryStateTime::ACT 5879250 # Time in different power states
-system.physmem_1.memoryStateTime::ACT_PDN 16724250 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.branchPred.lookups 2851 # Number of BP lookups
-system.cpu.branchPred.condPredicted 1679 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 484 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 2196 # Number of BTB lookups
-system.cpu.branchPred.BTBHits 719 # Number of BTB hits
-system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu.branchPred.BTBHitPct 32.741348 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 442 # Number of times the RAS was used to get a target.
-system.cpu.branchPred.RASInCorrect 42 # Number of incorrect RAS predictions.
-system.cpu.branchPred.indirectLookups 461 # Number of indirect predictor lookups.
-system.cpu.branchPred.indirectHits 25 # Number of indirect target hits.
-system.cpu.branchPred.indirectMisses 436 # Number of indirect misses.
-system.cpu.branchPredindirectMispredicted 123 # Number of mispredicted indirect branches.
-system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 2241 # DTB read hits
-system.cpu.dtb.read_misses 48 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 2289 # DTB read accesses
-system.cpu.dtb.write_hits 1046 # DTB write hits
-system.cpu.dtb.write_misses 28 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 1074 # DTB write accesses
-system.cpu.dtb.data_hits 3287 # DTB hits
-system.cpu.dtb.data_misses 76 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 3363 # DTB accesses
-system.cpu.itb.fetch_hits 2298 # ITB hits
-system.cpu.itb.fetch_misses 27 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 2325 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 47553 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.fetch.icacheStallCycles 8497 # Number of cycles fetch is stalled on an Icache miss
-system.cpu.fetch.Insts 16552 # Number of instructions fetch has processed
-system.cpu.fetch.Branches 2851 # Number of branches that fetch encountered
-system.cpu.fetch.predictedBranches 1186 # Number of branches that fetch has predicted taken
-system.cpu.fetch.Cycles 5772 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu.fetch.SquashCycles 1050 # Number of cycles fetch has spent squashing
-system.cpu.fetch.MiscStallCycles 22 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu.fetch.PendingTrapStallCycles 656 # Number of stall cycles due to pending traps
-system.cpu.fetch.CacheLines 2298 # Number of cache lines fetched
-system.cpu.fetch.IcacheSquashes 338 # Number of outstanding Icache misses that were squashed
-system.cpu.fetch.rateDist::samples 15472 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::mean 1.069804 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::stdev 2.455665 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::0 12480 80.66% 80.66% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::1 299 1.93% 82.59% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::2 231 1.49% 84.09% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::3 265 1.71% 85.80% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::4 295 1.91% 87.71% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::5 231 1.49% 89.20% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::6 280 1.81% 91.01% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::7 147 0.95% 91.96% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::8 1244 8.04% 100.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::total 15472 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.059954 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.348075 # Number of inst fetches per cycle
-system.cpu.decode.IdleCycles 8344 # Number of cycles decode is idle
-system.cpu.decode.BlockedCycles 4012 # Number of cycles decode is blocked
-system.cpu.decode.RunCycles 2454 # Number of cycles decode is running
-system.cpu.decode.UnblockCycles 211 # Number of cycles decode is unblocking
-system.cpu.decode.SquashCycles 451 # Number of cycles decode is squashing
-system.cpu.decode.BranchResolved 754 # Number of times decode resolved a branch
-system.cpu.decode.BranchMispred 75 # Number of times decode detected a branch misprediction
-system.cpu.decode.DecodedInsts 14992 # Number of instructions handled by decode
-system.cpu.decode.SquashedInsts 221 # Number of squashed instructions handled by decode
-system.cpu.rename.SquashCycles 451 # Number of cycles rename is squashing
-system.cpu.rename.IdleCycles 8504 # Number of cycles rename is idle
-system.cpu.rename.BlockCycles 1836 # Number of cycles rename is blocking
-system.cpu.rename.serializeStallCycles 655 # count of cycles rename stalled for serializing inst
-system.cpu.rename.RunCycles 2480 # Number of cycles rename is running
-system.cpu.rename.UnblockCycles 1546 # Number of cycles rename is unblocking
-system.cpu.rename.RenamedInsts 14425 # Number of instructions processed by rename
-system.cpu.rename.ROBFullEvents 2 # Number of times rename has blocked due to ROB full
-system.cpu.rename.IQFullEvents 21 # Number of times rename has blocked due to IQ full
-system.cpu.rename.LQFullEvents 10 # Number of times rename has blocked due to LQ full
-system.cpu.rename.SQFullEvents 1479 # Number of times rename has blocked due to SQ full
-system.cpu.rename.RenamedOperands 10912 # Number of destination operands rename has renamed
-system.cpu.rename.RenameLookups 17882 # Number of register rename lookups that rename has made
-system.cpu.rename.int_rename_lookups 17873 # Number of integer rename lookups
-system.cpu.rename.fp_rename_lookups 8 # Number of floating rename lookups
-system.cpu.rename.CommittedMaps 4577 # Number of HB maps that are committed
-system.cpu.rename.UndoneMaps 6335 # Number of HB maps that are undone due to squashing
-system.cpu.rename.serializingInsts 28 # count of serializing insts renamed
-system.cpu.rename.tempSerializingInsts 22 # count of temporary serializing insts renamed
-system.cpu.rename.skidInsts 586 # count of insts added to the skid buffer
-system.cpu.memDep0.insertedLoads 2823 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep0.insertedStores 1299 # Number of stores inserted to the mem dependence unit.
-system.cpu.memDep0.conflictingLoads 17 # Number of conflicting loads.
-system.cpu.memDep0.conflictingStores 6 # Number of conflicting stores.
-system.cpu.iq.iqInstsAdded 13035 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu.iq.iqNonSpecInstsAdded 27 # Number of non-speculative instructions added to the IQ
-system.cpu.iq.iqInstsIssued 10770 # Number of instructions issued
-system.cpu.iq.iqSquashedInstsIssued 17 # Number of squashed instructions issued
-system.cpu.iq.iqSquashedInstsExamined 6676 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu.iq.iqSquashedOperandsExamined 3655 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu.iq.iqSquashedNonSpecRemoved 10 # Number of squashed non-spec instructions that were removed
-system.cpu.iq.issued_per_cycle::samples 15472 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::mean 0.696096 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::stdev 1.440906 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::0 11426 73.85% 73.85% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::1 1307 8.45% 82.30% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::2 919 5.94% 88.24% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::3 676 4.37% 92.61% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::4 515 3.33% 95.93% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::5 346 2.24% 98.17% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::6 202 1.31% 99.48% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::7 53 0.34% 99.82% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::8 28 0.18% 100.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::total 15472 # Number of insts issued each cycle
-system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntAlu 21 14.79% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntMult 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntDiv 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMult 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMultAcc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMisc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMult 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShift 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 14.79% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemRead 83 58.45% 73.24% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemWrite 37 26.06% 99.30% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMemRead 0 0.00% 99.30% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMemWrite 1 0.70% 100.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
-system.cpu.iq.FU_type_0::No_OpClass 2 0.02% 0.02% # Type of FU issued
-system.cpu.iq.FU_type_0::IntAlu 7179 66.66% 66.68% # Type of FU issued
-system.cpu.iq.FU_type_0::IntMult 1 0.01% 66.69% # Type of FU issued
-system.cpu.iq.FU_type_0::IntDiv 0 0.00% 66.69% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMultAcc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMisc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 66.70% # Type of FU issued
-system.cpu.iq.FU_type_0::MemRead 2465 22.89% 89.59% # Type of FU issued
-system.cpu.iq.FU_type_0::MemWrite 1113 10.33% 99.93% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMemRead 1 0.01% 99.94% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMemWrite 7 0.06% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::total 10770 # Type of FU issued
-system.cpu.iq.rate 0.226484 # Inst issue rate
-system.cpu.iq.fu_busy_cnt 142 # FU busy when requested
-system.cpu.iq.fu_busy_rate 0.013185 # FU busy rate (busy events/executed inst)
-system.cpu.iq.int_inst_queue_reads 37150 # Number of integer instruction queue reads
-system.cpu.iq.int_inst_queue_writes 19749 # Number of integer instruction queue writes
-system.cpu.iq.int_inst_queue_wakeup_accesses 9744 # Number of integer instruction queue wakeup accesses
-system.cpu.iq.fp_inst_queue_reads 21 # Number of floating instruction queue reads
-system.cpu.iq.fp_inst_queue_writes 10 # Number of floating instruction queue writes
-system.cpu.iq.fp_inst_queue_wakeup_accesses 10 # Number of floating instruction queue wakeup accesses
-system.cpu.iq.int_alu_accesses 10899 # Number of integer alu accesses
-system.cpu.iq.fp_alu_accesses 11 # Number of floating point alu accesses
-system.cpu.iew.lsq.thread0.forwLoads 119 # Number of loads that had data forwarded from stores
-system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread0.squashedLoads 1638 # Number of loads squashed
-system.cpu.iew.lsq.thread0.ignoredResponses 8 # Number of memory responses ignored because the instruction is squashed
-system.cpu.iew.lsq.thread0.memOrderViolation 23 # Number of memory ordering violations
-system.cpu.iew.lsq.thread0.squashedStores 434 # Number of stores squashed
-system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
-system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
-system.cpu.iew.lsq.thread0.rescheduledLoads 1 # Number of loads that were rescheduled
-system.cpu.iew.lsq.thread0.cacheBlocked 78 # Number of times an access to memory failed due to the cache being blocked
-system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu.iew.iewSquashCycles 451 # Number of cycles IEW is squashing
-system.cpu.iew.iewBlockCycles 1424 # Number of cycles IEW is blocking
-system.cpu.iew.iewUnblockCycles 338 # Number of cycles IEW is unblocking
-system.cpu.iew.iewDispatchedInsts 13146 # Number of instructions dispatched to IQ
-system.cpu.iew.iewDispSquashedInsts 125 # Number of squashed instructions skipped by dispatch
-system.cpu.iew.iewDispLoadInsts 2823 # Number of dispatched load instructions
-system.cpu.iew.iewDispStoreInsts 1299 # Number of dispatched store instructions
-system.cpu.iew.iewDispNonSpecInsts 27 # Number of dispatched non-speculative instructions
-system.cpu.iew.iewIQFullEvents 7 # Number of times the IQ has become full, causing a stall
-system.cpu.iew.iewLSQFullEvents 331 # Number of times the LSQ has become full, causing a stall
-system.cpu.iew.memOrderViolationEvents 23 # Number of memory order violations
-system.cpu.iew.predictedTakenIncorrect 110 # Number of branches that were predicted taken incorrectly
-system.cpu.iew.predictedNotTakenIncorrect 389 # Number of branches that were predicted not taken incorrectly
-system.cpu.iew.branchMispredicts 499 # Number of branch mispredicts detected at execute
-system.cpu.iew.iewExecutedInsts 10283 # Number of executed instructions
-system.cpu.iew.iewExecLoadInsts 2289 # Number of load instructions executed
-system.cpu.iew.iewExecSquashedInsts 487 # Number of squashed instructions skipped in execute
-system.cpu.iew.exec_swp 0 # number of swp insts executed
-system.cpu.iew.exec_nop 84 # number of nop insts executed
-system.cpu.iew.exec_refs 3373 # number of memory reference insts executed
-system.cpu.iew.exec_branches 1639 # Number of branches executed
-system.cpu.iew.exec_stores 1084 # Number of stores executed
-system.cpu.iew.exec_rate 0.216243 # Inst execution rate
-system.cpu.iew.wb_sent 9942 # cumulative count of insts sent to commit
-system.cpu.iew.wb_count 9754 # cumulative count of insts written-back
-system.cpu.iew.wb_producers 5150 # num instructions producing a value
-system.cpu.iew.wb_consumers 7025 # num instructions consuming a value
-system.cpu.iew.wb_rate 0.205118 # insts written-back per cycle
-system.cpu.iew.wb_fanout 0.733096 # average fanout of values written-back
-system.cpu.commit.commitSquashedInsts 6693 # The number of squashed insts skipped by commit
-system.cpu.commit.commitNonSpecStalls 17 # The number of times commit has been forced to stall to communicate backwards
-system.cpu.commit.branchMispredicts 410 # The number of times a branch was mispredicted
-system.cpu.commit.committed_per_cycle::samples 14238 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::mean 0.449642 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::stdev 1.359190 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::0 11808 82.93% 82.93% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::1 1161 8.15% 91.09% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::2 469 3.29% 94.38% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::3 204 1.43% 95.81% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::4 134 0.94% 96.76% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::5 86 0.60% 97.36% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::6 97 0.68% 98.04% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::7 89 0.63% 98.67% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::8 190 1.33% 100.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::total 14238 # Number of insts commited each cycle
-system.cpu.commit.committedInsts 6402 # Number of instructions committed
-system.cpu.commit.committedOps 6402 # Number of ops (including micro ops) committed
-system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
-system.cpu.commit.refs 2050 # Number of memory references committed
-system.cpu.commit.loads 1185 # Number of loads committed
-system.cpu.commit.membars 0 # Number of memory barriers committed
-system.cpu.commit.branches 1056 # Number of branches committed
-system.cpu.commit.fp_insts 10 # Number of committed floating point instructions.
-system.cpu.commit.int_insts 6319 # Number of committed integer instructions.
-system.cpu.commit.function_calls 127 # Number of function calls committed.
-system.cpu.commit.op_class_0::No_OpClass 19 0.30% 0.30% # Class of committed instruction
-system.cpu.commit.op_class_0::IntAlu 4330 67.64% 67.93% # Class of committed instruction
-system.cpu.commit.op_class_0::IntMult 1 0.02% 67.95% # Class of committed instruction
-system.cpu.commit.op_class_0::IntDiv 0 0.00% 67.95% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatAdd 2 0.03% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatCmp 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatCvt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatMult 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatMultAcc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatDiv 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatMisc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatSqrt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdAdd 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdAddAcc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdAlu 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdCmp 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdCvt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdMisc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdMult 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdMultAcc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdShift 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdShiftAcc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdSqrt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatAdd 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatAlu 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatCmp 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatCvt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatDiv 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatMisc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatMult 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatMultAcc 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::SimdFloatSqrt 0 0.00% 67.98% # Class of committed instruction
-system.cpu.commit.op_class_0::MemRead 1184 18.49% 86.47% # Class of committed instruction
-system.cpu.commit.op_class_0::MemWrite 858 13.40% 99.88% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatMemRead 1 0.02% 99.89% # Class of committed instruction
-system.cpu.commit.op_class_0::FloatMemWrite 7 0.11% 100.00% # Class of committed instruction
-system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
-system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu.commit.op_class_0::total 6402 # Class of committed instruction
-system.cpu.commit.bw_lim_events 190 # number cycles where commit BW limit reached
-system.cpu.rob.rob_reads 26792 # The number of ROB reads
-system.cpu.rob.rob_writes 27441 # The number of ROB writes
-system.cpu.timesIdled 250 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 32081 # Total number of cycles that the CPU has spent unscheduled due to idling
-system.cpu.committedInsts 6385 # Number of Instructions Simulated
-system.cpu.committedOps 6385 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi 7.447612 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 7.447612 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.134271 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.134271 # IPC: Total IPC of All Threads
-system.cpu.int_regfile_reads 13028 # number of integer regfile reads
-system.cpu.int_regfile_writes 7426 # number of integer regfile writes
-system.cpu.fp_regfile_reads 8 # number of floating regfile reads
-system.cpu.fp_regfile_writes 2 # number of floating regfile writes
-system.cpu.misc_regfile_reads 1 # number of misc regfile reads
-system.cpu.misc_regfile_writes 1 # number of misc regfile writes
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 110.199847 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 2391 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 173 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 13.820809 # Average number of references to valid blocks.
-system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 110.199847 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.026904 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.026904 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 173 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 42 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 131 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.042236 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 6029 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 6029 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.ReadReq_hits::cpu.data 1883 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1883 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 508 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 508 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 2391 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 2391 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 2391 # number of overall hits
-system.cpu.dcache.overall_hits::total 2391 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 180 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 180 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 357 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 357 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 537 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 537 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 537 # number of overall misses
-system.cpu.dcache.overall_misses::total 537 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 13954000 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 13954000 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 31258982 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 31258982 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 45212982 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 45212982 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 45212982 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 45212982 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 2063 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 2063 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2928 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2928 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2928 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2928 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.087252 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.087252 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.412717 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.412717 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.183402 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.183402 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.183402 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.183402 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 77522.222222 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 77522.222222 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 87560.173669 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 87560.173669 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 84195.497207 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 84195.497207 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 84195.497207 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 84195.497207 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 3108 # number of cycles access was blocked
-system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 37 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 84 # average number of cycles each access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.dcache.ReadReq_mshr_hits::cpu.data 79 # number of ReadReq MSHR hits
-system.cpu.dcache.ReadReq_mshr_hits::total 79 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 285 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 285 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 364 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 364 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 364 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 364 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 101 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 101 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 72 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 72 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 173 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 173 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 173 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 173 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 9402500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 9402500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 7030000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 7030000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 16432500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 16432500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 16432500 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 16432500 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.048958 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.048958 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.083237 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.083237 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.059085 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.059085 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.059085 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.059085 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 93094.059406 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 93094.059406 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 97638.888889 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 97638.888889 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 94985.549133 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 94985.549133 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 94985.549133 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 94985.549133 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 160.011089 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 1840 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 312 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 5.897436 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 160.011089 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.078130 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.078130 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 312 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 126 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 186 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.152344 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 4908 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 4908 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 1840 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 1840 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 1840 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 1840 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 1840 # number of overall hits
-system.cpu.icache.overall_hits::total 1840 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 458 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 458 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 458 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 458 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 458 # number of overall misses
-system.cpu.icache.overall_misses::total 458 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 35481000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 35481000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 35481000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 35481000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 35481000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 35481000 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 2298 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 2298 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 2298 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 2298 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 2298 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 2298 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.199304 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.199304 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.199304 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.199304 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.199304 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.199304 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 77469.432314 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 77469.432314 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 77469.432314 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 77469.432314 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 77469.432314 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 77469.432314 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 146 # number of ReadReq MSHR hits
-system.cpu.icache.ReadReq_mshr_hits::total 146 # number of ReadReq MSHR hits
-system.cpu.icache.demand_mshr_hits::cpu.inst 146 # number of demand (read+write) MSHR hits
-system.cpu.icache.demand_mshr_hits::total 146 # number of demand (read+write) MSHR hits
-system.cpu.icache.overall_mshr_hits::cpu.inst 146 # number of overall MSHR hits
-system.cpu.icache.overall_mshr_hits::total 146 # number of overall MSHR hits
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 312 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 312 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 312 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 312 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 312 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 312 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 26195000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 26195000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 26195000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 26195000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 26195000 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 26195000 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.135770 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.135770 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.135770 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.135770 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.135770 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.135770 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 83958.333333 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 83958.333333 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 83958.333333 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 83958.333333 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 83958.333333 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 83958.333333 # average overall mshr miss latency
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 270.308724 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 484 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 0.002066 # Average number of references to valid blocks.
-system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 160.032476 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 110.276248 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004884 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.003365 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.008249 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 484 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 167 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 317 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.014771 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 4364 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 4364 # Number of data accesses
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1 # number of ReadCleanReq hits
-system.cpu.l2cache.ReadCleanReq_hits::total 1 # number of ReadCleanReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 1 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 1 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1 # number of overall hits
-system.cpu.l2cache.ReadExReq_misses::cpu.data 72 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 72 # number of ReadExReq misses
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 311 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadCleanReq_misses::total 311 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 101 # number of ReadSharedReq misses
-system.cpu.l2cache.ReadSharedReq_misses::total 101 # number of ReadSharedReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 311 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 173 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 484 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 311 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 173 # number of overall misses
-system.cpu.l2cache.overall_misses::total 484 # number of overall misses
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6919000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 6919000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 25713500 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 25713500 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 9242500 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 9242500 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 25713500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 16161500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 41875000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 25713500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 16161500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 41875000 # number of overall miss cycles
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 72 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 72 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 312 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::total 312 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 101 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::total 101 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 312 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 173 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 485 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 312 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 173 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 485 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.996795 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.996795 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996795 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.997938 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996795 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.997938 # miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 96097.222222 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 96097.222222 # average ReadExReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 82680.064309 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 82680.064309 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 91509.900990 # average ReadSharedReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 91509.900990 # average ReadSharedReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 82680.064309 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 93419.075145 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 86518.595041 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 82680.064309 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 93419.075145 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 86518.595041 # average overall miss latency
-system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 72 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 72 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 311 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 311 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 101 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 101 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 311 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 173 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 484 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 311 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 173 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 484 # number of overall MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6199000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6199000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 22603500 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 22603500 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 8232500 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 8232500 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 22603500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 14431500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 37035000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 22603500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 14431500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 37035000 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.996795 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.996795 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996795 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.997938 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996795 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.997938 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 86097.222222 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 86097.222222 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 72680.064309 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 72680.064309 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 81509.900990 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 81509.900990 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 72680.064309 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 83419.075145 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 76518.595041 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 72680.064309 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 83419.075145 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 76518.595041 # average overall mshr miss latency
-system.cpu.toL2Bus.snoop_filter.tot_requests 485 # Total number of requests made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 1 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.cpu.toL2Bus.trans_dist::ReadResp 413 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 72 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 72 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 312 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 101 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 624 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 346 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 970 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19968 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 11072 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size::total 31040 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.snoops 0 # Total snoops (count)
-system.cpu.toL2Bus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.cpu.toL2Bus.snoop_fanout::samples 485 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::mean 0.002062 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::stdev 0.045408 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::0 484 99.79% 99.79% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::1 1 0.21% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::min_value 0 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::total 485 # Request fanout histogram
-system.cpu.toL2Bus.reqLayer0.occupancy 242500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.reqLayer0.utilization 1.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 468000 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 2.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 259500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer1.utilization 1.1 # Layer utilization (%)
-system.membus.snoop_filter.tot_requests 484 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 23776000 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadResp 412 # Transaction distribution
-system.membus.trans_dist::ReadExReq 72 # Transaction distribution
-system.membus.trans_dist::ReadExResp 72 # Transaction distribution
-system.membus.trans_dist::ReadSharedReq 412 # Transaction distribution
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 968 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 968 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 30976 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 30976 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 484 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 484 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 484 # Request fanout histogram
-system.membus.reqLayer0.occupancy 593000 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 2.5 # Layer utilization (%)
-system.membus.respLayer1.occupancy 2566000 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 10.8 # Layer utilization (%)
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini
deleted file mode 100644
index c1171633d..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini
+++ /dev/null
@@ -1,203 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=atomic
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=AtomicSimpleCPU
-children=dtb interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu_clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-fastmem=false
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-simulate_data_stalls=false
-simulate_inst_stalls=false
-socket_id=0
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-width=1
-workload=system.cpu.workload
-dcache_port=system.membus.slave[2]
-icache_port=system.membus.slave[1]
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=Null
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port
-slave=system.system_port system.cpu.icache_port system.cpu.dcache_port
-
-[system.physmem]
-type=SimpleMemory
-bandwidth=73.000000
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-eventq_index=0
-in_addr_map=true
-latency=30000
-latency_var=0
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-range=0:134217727
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr
deleted file mode 100755
index aadc3d011..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr
+++ /dev/null
@@ -1,2 +0,0 @@
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout
deleted file mode 100755
index a049bb5ed..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout
+++ /dev/null
@@ -1,15 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-atomic/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-atomic/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Jul 19 2016 12:23:51
-gem5 started Jul 19 2016 12:24:27
-gem5 executing on e108600-lin, pid 39609
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-atomic -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/alpha/linux/simple-atomic
-
-Global frequency set at 1000000000000 ticks per second
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 3214500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
deleted file mode 100644
index f9588e66a..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
+++ /dev/null
@@ -1,167 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000003 # Number of seconds simulated
-sim_ticks 3214500 # Number of ticks simulated
-final_tick 3214500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1072411 # Simulator instruction rate (inst/s)
-host_op_rate 1070683 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 536687952 # Simulator tick rate (ticks/s)
-host_mem_usage 241476 # Number of bytes of host memory used
-host_seconds 0.01 # Real time elapsed on the host
-sim_insts 6403 # Number of instructions simulated
-sim_ops 6403 # Number of ops (including micro ops) simulated
-system.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 3214500 # Cumulative time (in ticks) in various power states
-system.physmem.bytes_read::cpu.inst 25652 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 8804 # Number of bytes read from this memory
-system.physmem.bytes_read::total 34456 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 25652 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 25652 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::cpu.data 6696 # Number of bytes written to this memory
-system.physmem.bytes_written::total 6696 # Number of bytes written to this memory
-system.physmem.num_reads::cpu.inst 6413 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 1185 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 7598 # Number of read requests responded to by this memory
-system.physmem.num_writes::cpu.data 865 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 865 # Number of write requests responded to by this memory
-system.physmem.bw_read::cpu.inst 7980090216 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 2738839633 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 10718929849 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 7980090216 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 7980090216 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu.data 2083061129 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 2083061129 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 7980090216 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 4821900762 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 12801990978 # Total bandwidth to/from this memory (bytes/s)
-system.pwrStateResidencyTicks::UNDEFINED 3214500 # Cumulative time (in ticks) in various power states
-system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1185 # DTB read hits
-system.cpu.dtb.read_misses 7 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1192 # DTB read accesses
-system.cpu.dtb.write_hits 865 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 868 # DTB write accesses
-system.cpu.dtb.data_hits 2050 # DTB hits
-system.cpu.dtb.data_misses 10 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2060 # DTB accesses
-system.cpu.itb.fetch_hits 6413 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 6430 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 3214500 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 6430 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6403 # Number of instructions committed
-system.cpu.committedOps 6403 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 6329 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
-system.cpu.num_func_calls 251 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 754 # number of instructions that are conditional controls
-system.cpu.num_int_insts 6329 # number of integer instructions
-system.cpu.num_fp_insts 10 # number of float instructions
-system.cpu.num_int_register_reads 8297 # number of times the integer registers were read
-system.cpu.num_int_register_writes 4575 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
-system.cpu.num_mem_refs 2060 # number of memory refs
-system.cpu.num_load_insts 1192 # Number of load instructions
-system.cpu.num_store_insts 868 # Number of store instructions
-system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 6430 # Number of busy cycles
-system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0 # Percentage of idle cycles
-system.cpu.Branches 1056 # Number of branches fetched
-system.cpu.op_class::No_OpClass 19 0.30% 0.30% # Class of executed instruction
-system.cpu.op_class::IntAlu 4331 67.53% 67.83% # Class of executed instruction
-system.cpu.op_class::IntMult 1 0.02% 67.85% # Class of executed instruction
-system.cpu.op_class::IntDiv 0 0.00% 67.85% # Class of executed instruction
-system.cpu.op_class::FloatAdd 2 0.03% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAddAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShift 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShiftAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::MemRead 1191 18.57% 86.45% # Class of executed instruction
-system.cpu.op_class::MemWrite 861 13.43% 99.88% # Class of executed instruction
-system.cpu.op_class::FloatMemRead 1 0.02% 99.89% # Class of executed instruction
-system.cpu.op_class::FloatMemWrite 7 0.11% 100.00% # Class of executed instruction
-system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 6413 # Class of executed instruction
-system.membus.snoop_filter.tot_requests 0 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 3214500 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadReq 7598 # Transaction distribution
-system.membus.trans_dist::ReadResp 7598 # Transaction distribution
-system.membus.trans_dist::WriteReq 865 # Transaction distribution
-system.membus.trans_dist::WriteResp 865 # Transaction distribution
-system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 12826 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 4100 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 16926 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 25652 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 15500 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 41152 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 8463 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 8463 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 8463 # Request fanout histogram
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini
deleted file mode 100644
index 6b91b5d29..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini
+++ /dev/null
@@ -1,1266 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000
-time_sync_spin_threshold=100000
-
-[system]
-type=System
-children=clk_domain cpu dvfs_handler mem_ctrls ruby sys_port_proxy voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=0:268435455:0:0:0:0
-memories=system.mem_ctrls
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.sys_port_proxy.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=TimingSimpleCPU
-children=clk_domain dtb interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu.clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.ruby.l1_cntrl0.sequencer.slave[1]
-icache_port=system.ruby.l1_cntrl0.sequencer.slave[0]
-
-[system.cpu.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000
-
-[system.mem_ctrls]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-page_policy=open_adaptive
-power_model=Null
-range=0:268435455:5:19:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10
-static_frontend_latency=10
-tBURST=5
-tCCD_L=0
-tCK=1
-tCL=14
-tCS=3
-tRAS=35
-tRCD=14
-tREFI=7800
-tRFC=260
-tRP=14
-tRRD=6
-tRRD_L=0
-tRTP=8
-tRTW=3
-tWR=15
-tWTR=8
-tXAW=30
-tXP=6
-tXPDLL=0
-tXS=270
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.ruby.dir_cntrl0.memory
-
-[system.ruby]
-type=RubySystem
-children=clk_domain dir_cntrl0 l1_cntrl0 memctrl_clk_domain network
-access_backing_store=false
-all_instructions=false
-block_size_bytes=64
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hot_lines=false
-memory_size_bits=48
-num_of_sequencers=1
-number_of_virtual_networks=5
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-phys_mem=Null
-power_model=Null
-randomization=false
-
-[system.ruby.clk_domain]
-type=SrcClockDomain
-clock=1
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.ruby.dir_cntrl0]
-type=Directory_Controller
-children=directory dmaRequestToDir dmaResponseFromDir forwardFromDir requestToDir responseFromDir responseFromMemory
-buffer_size=0
-clk_domain=system.ruby.clk_domain
-cluster_id=0
-default_p_state=UNDEFINED
-directory=system.ruby.dir_cntrl0.directory
-directory_latency=12
-dmaRequestToDir=system.ruby.dir_cntrl0.dmaRequestToDir
-dmaResponseFromDir=system.ruby.dir_cntrl0.dmaResponseFromDir
-eventq_index=0
-forwardFromDir=system.ruby.dir_cntrl0.forwardFromDir
-number_of_TBEs=256
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-recycle_latency=10
-requestToDir=system.ruby.dir_cntrl0.requestToDir
-responseFromDir=system.ruby.dir_cntrl0.responseFromDir
-responseFromMemory=system.ruby.dir_cntrl0.responseFromMemory
-ruby_system=system.ruby
-system=system
-to_memory_controller_latency=1
-transitions_per_cycle=4
-version=0
-memory=system.mem_ctrls.port
-
-[system.ruby.dir_cntrl0.directory]
-type=RubyDirectoryMemory
-eventq_index=0
-numa_high_bit=5
-size=268435456
-version=0
-
-[system.ruby.dir_cntrl0.dmaRequestToDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[3]
-
-[system.ruby.dir_cntrl0.dmaResponseFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[3]
-
-[system.ruby.dir_cntrl0.forwardFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-master=system.ruby.network.slave[4]
-
-[system.ruby.dir_cntrl0.requestToDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[2]
-
-[system.ruby.dir_cntrl0.responseFromDir]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-master=system.ruby.network.slave[2]
-
-[system.ruby.dir_cntrl0.responseFromMemory]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-
-[system.ruby.l1_cntrl0]
-type=L1Cache_Controller
-children=cacheMemory forwardToCache mandatoryQueue requestFromCache responseFromCache responseToCache sequencer
-buffer_size=0
-cacheMemory=system.ruby.l1_cntrl0.cacheMemory
-cache_response_latency=12
-clk_domain=system.cpu.clk_domain
-cluster_id=0
-default_p_state=UNDEFINED
-eventq_index=0
-forwardToCache=system.ruby.l1_cntrl0.forwardToCache
-issue_latency=2
-mandatoryQueue=system.ruby.l1_cntrl0.mandatoryQueue
-number_of_TBEs=256
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-recycle_latency=10
-requestFromCache=system.ruby.l1_cntrl0.requestFromCache
-responseFromCache=system.ruby.l1_cntrl0.responseFromCache
-responseToCache=system.ruby.l1_cntrl0.responseToCache
-ruby_system=system.ruby
-send_evictions=false
-sequencer=system.ruby.l1_cntrl0.sequencer
-system=system
-transitions_per_cycle=4
-version=0
-
-[system.ruby.l1_cntrl0.cacheMemory]
-type=RubyCache
-children=replacement_policy
-assoc=2
-block_size=0
-dataAccessLatency=1
-dataArrayBanks=1
-eventq_index=0
-is_icache=false
-replacement_policy=system.ruby.l1_cntrl0.cacheMemory.replacement_policy
-resourceStalls=false
-ruby_system=system.ruby
-size=256
-start_index_bit=6
-tagAccessLatency=1
-tagArrayBanks=1
-
-[system.ruby.l1_cntrl0.cacheMemory.replacement_policy]
-type=PseudoLRUReplacementPolicy
-assoc=2
-block_size=64
-eventq_index=0
-size=256
-
-[system.ruby.l1_cntrl0.forwardToCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[0]
-
-[system.ruby.l1_cntrl0.mandatoryQueue]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=false
-randomization=false
-
-[system.ruby.l1_cntrl0.requestFromCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[0]
-
-[system.ruby.l1_cntrl0.responseFromCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-master=system.ruby.network.slave[1]
-
-[system.ruby.l1_cntrl0.responseToCache]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-slave=system.ruby.network.master[1]
-
-[system.ruby.l1_cntrl0.sequencer]
-type=RubySequencer
-clk_domain=system.cpu.clk_domain
-coreid=99
-dcache=system.ruby.l1_cntrl0.cacheMemory
-dcache_hit_latency=1
-deadlock_threshold=500000
-default_p_state=UNDEFINED
-eventq_index=0
-garnet_standalone=false
-icache=system.ruby.l1_cntrl0.cacheMemory
-icache_hit_latency=1
-is_cpu_sequencer=true
-max_outstanding_requests=16
-no_retry_on_stall=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-ruby_system=system.ruby
-support_data_reqs=true
-support_inst_reqs=true
-system=system
-using_ruby_tester=false
-version=0
-slave=system.cpu.icache_port system.cpu.dcache_port
-
-[system.ruby.memctrl_clk_domain]
-type=DerivedClockDomain
-clk_divider=3
-clk_domain=system.ruby.clk_domain
-eventq_index=0
-
-[system.ruby.network]
-type=SimpleNetwork
-children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_link_buffers20 int_link_buffers21 int_link_buffers22 int_link_buffers23 int_link_buffers24 int_link_buffers25 int_link_buffers26 int_link_buffers27 int_link_buffers28 int_link_buffers29 int_link_buffers30 int_link_buffers31 int_link_buffers32 int_link_buffers33 int_link_buffers34 int_link_buffers35 int_link_buffers36 int_link_buffers37 int_link_buffers38 int_link_buffers39 int_links0 int_links1 int_links2 int_links3 routers0 routers1 routers2
-adaptive_routing=false
-buffer_size=0
-clk_domain=system.ruby.clk_domain
-control_msg_size=8
-default_p_state=UNDEFINED
-endpoint_bandwidth=1000
-eventq_index=0
-ext_links=system.ruby.network.ext_links0 system.ruby.network.ext_links1
-int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19 system.ruby.network.int_link_buffers20 system.ruby.network.int_link_buffers21 system.ruby.network.int_link_buffers22 system.ruby.network.int_link_buffers23 system.ruby.network.int_link_buffers24 system.ruby.network.int_link_buffers25 system.ruby.network.int_link_buffers26 system.ruby.network.int_link_buffers27 system.ruby.network.int_link_buffers28 system.ruby.network.int_link_buffers29 system.ruby.network.int_link_buffers30 system.ruby.network.int_link_buffers31 system.ruby.network.int_link_buffers32 system.ruby.network.int_link_buffers33 system.ruby.network.int_link_buffers34 system.ruby.network.int_link_buffers35 system.ruby.network.int_link_buffers36 system.ruby.network.int_link_buffers37 system.ruby.network.int_link_buffers38 system.ruby.network.int_link_buffers39
-int_links=system.ruby.network.int_links0 system.ruby.network.int_links1 system.ruby.network.int_links2 system.ruby.network.int_links3
-netifs=
-number_of_virtual_networks=5
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-routers=system.ruby.network.routers0 system.ruby.network.routers1 system.ruby.network.routers2
-ruby_system=system.ruby
-topology=Crossbar
-master=system.ruby.l1_cntrl0.forwardToCache.slave system.ruby.l1_cntrl0.responseToCache.slave system.ruby.dir_cntrl0.requestToDir.slave system.ruby.dir_cntrl0.dmaRequestToDir.slave
-slave=system.ruby.l1_cntrl0.requestFromCache.master system.ruby.l1_cntrl0.responseFromCache.master system.ruby.dir_cntrl0.responseFromDir.master system.ruby.dir_cntrl0.dmaResponseFromDir.master system.ruby.dir_cntrl0.forwardFromDir.master
-
-[system.ruby.network.ext_links0]
-type=SimpleExtLink
-bandwidth_factor=16
-eventq_index=0
-ext_node=system.ruby.l1_cntrl0
-int_node=system.ruby.network.routers0
-latency=1
-link_id=0
-weight=1
-
-[system.ruby.network.ext_links1]
-type=SimpleExtLink
-bandwidth_factor=16
-eventq_index=0
-ext_node=system.ruby.dir_cntrl0
-int_node=system.ruby.network.routers1
-latency=1
-link_id=1
-weight=1
-
-[system.ruby.network.int_link_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers15]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers16]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers17]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers18]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers19]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers20]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers21]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers22]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers23]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers24]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers25]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers26]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers27]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers28]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers29]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers30]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers31]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers32]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers33]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers34]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers35]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers36]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers37]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers38]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_link_buffers39]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.int_links0]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers2
-eventq_index=0
-latency=1
-link_id=2
-src_node=system.ruby.network.routers0
-src_outport=
-weight=1
-
-[system.ruby.network.int_links1]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers2
-eventq_index=0
-latency=1
-link_id=3
-src_node=system.ruby.network.routers1
-src_outport=
-weight=1
-
-[system.ruby.network.int_links2]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers0
-eventq_index=0
-latency=1
-link_id=4
-src_node=system.ruby.network.routers2
-src_outport=
-weight=1
-
-[system.ruby.network.int_links3]
-type=SimpleIntLink
-bandwidth_factor=16
-dst_inport=
-dst_node=system.ruby.network.routers1
-eventq_index=0
-latency=1
-link_id=5
-src_node=system.ruby.network.routers2
-src_outport=
-weight=1
-
-[system.ruby.network.routers0]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers0.port_buffers00 system.ruby.network.routers0.port_buffers01 system.ruby.network.routers0.port_buffers02 system.ruby.network.routers0.port_buffers03 system.ruby.network.routers0.port_buffers04 system.ruby.network.routers0.port_buffers05 system.ruby.network.routers0.port_buffers06 system.ruby.network.routers0.port_buffers07 system.ruby.network.routers0.port_buffers08 system.ruby.network.routers0.port_buffers09 system.ruby.network.routers0.port_buffers10 system.ruby.network.routers0.port_buffers11 system.ruby.network.routers0.port_buffers12 system.ruby.network.routers0.port_buffers13 system.ruby.network.routers0.port_buffers14
-power_model=Null
-router_id=0
-virt_nets=5
-
-[system.ruby.network.routers0.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers0.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers1.port_buffers00 system.ruby.network.routers1.port_buffers01 system.ruby.network.routers1.port_buffers02 system.ruby.network.routers1.port_buffers03 system.ruby.network.routers1.port_buffers04 system.ruby.network.routers1.port_buffers05 system.ruby.network.routers1.port_buffers06 system.ruby.network.routers1.port_buffers07 system.ruby.network.routers1.port_buffers08 system.ruby.network.routers1.port_buffers09 system.ruby.network.routers1.port_buffers10 system.ruby.network.routers1.port_buffers11 system.ruby.network.routers1.port_buffers12 system.ruby.network.routers1.port_buffers13 system.ruby.network.routers1.port_buffers14
-power_model=Null
-router_id=1
-virt_nets=5
-
-[system.ruby.network.routers1.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers1.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2]
-type=Switch
-children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14 port_buffers15 port_buffers16 port_buffers17 port_buffers18 port_buffers19
-clk_domain=system.ruby.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-port_buffers=system.ruby.network.routers2.port_buffers00 system.ruby.network.routers2.port_buffers01 system.ruby.network.routers2.port_buffers02 system.ruby.network.routers2.port_buffers03 system.ruby.network.routers2.port_buffers04 system.ruby.network.routers2.port_buffers05 system.ruby.network.routers2.port_buffers06 system.ruby.network.routers2.port_buffers07 system.ruby.network.routers2.port_buffers08 system.ruby.network.routers2.port_buffers09 system.ruby.network.routers2.port_buffers10 system.ruby.network.routers2.port_buffers11 system.ruby.network.routers2.port_buffers12 system.ruby.network.routers2.port_buffers13 system.ruby.network.routers2.port_buffers14 system.ruby.network.routers2.port_buffers15 system.ruby.network.routers2.port_buffers16 system.ruby.network.routers2.port_buffers17 system.ruby.network.routers2.port_buffers18 system.ruby.network.routers2.port_buffers19
-power_model=Null
-router_id=2
-virt_nets=5
-
-[system.ruby.network.routers2.port_buffers00]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers01]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers02]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers03]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers04]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers05]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers06]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers07]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers08]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers09]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers10]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers11]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers12]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers13]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers14]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers15]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers16]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers17]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers18]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.ruby.network.routers2.port_buffers19]
-type=MessageBuffer
-buffer_size=0
-eventq_index=0
-ordered=true
-randomization=false
-
-[system.sys_port_proxy]
-type=RubyPortProxy
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-is_cpu_sequencer=true
-no_retry_on_stall=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000
-p_state_clk_gate_min=1
-power_model=Null
-ruby_system=system.ruby
-support_data_reqs=true
-support_inst_reqs=true
-system=system
-using_ruby_tester=false
-version=0
-slave=system.system_port
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr
deleted file mode 100755
index f6f6f15a5..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr
+++ /dev/null
@@ -1,10 +0,0 @@
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (256 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-warn: Replacement policy updates recently became the responsibility of SLICC state machines. Make sure to setMRU() near callbacks in .sm files!
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout
deleted file mode 100755
index 89adb8b85..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout
+++ /dev/null
@@ -1,15 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Oct 11 2016 00:00:58
-gem5 started Oct 13 2016 20:19:45
-gem5 executing on e108600-lin, pid 28066
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/alpha/linux/simple-timing-ruby
-
-Global frequency set at 1000000000 ticks per second
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 112490 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt
deleted file mode 100644
index 639ae90c9..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt
+++ /dev/null
@@ -1,702 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000112 # Number of seconds simulated
-sim_ticks 112490 # Number of ticks simulated
-final_tick 112490 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000 # Frequency of simulated ticks
-host_inst_rate 109524 # Simulator instruction rate (inst/s)
-host_op_rate 109501 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1923375 # Simulator tick rate (ticks/s)
-host_mem_usage 415960 # Number of bytes of host memory used
-host_seconds 0.06 # Real time elapsed on the host
-sim_insts 6403 # Number of instructions simulated
-sim_ops 6403 # Number of ops (including micro ops) simulated
-system.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1 # Clock period in ticks
-system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.mem_ctrls.bytes_read::ruby.dir_cntrl0 110784 # Number of bytes read from this memory
-system.mem_ctrls.bytes_read::total 110784 # Number of bytes read from this memory
-system.mem_ctrls.bytes_written::ruby.dir_cntrl0 110528 # Number of bytes written to this memory
-system.mem_ctrls.bytes_written::total 110528 # Number of bytes written to this memory
-system.mem_ctrls.num_reads::ruby.dir_cntrl0 1731 # Number of read requests responded to by this memory
-system.mem_ctrls.num_reads::total 1731 # Number of read requests responded to by this memory
-system.mem_ctrls.num_writes::ruby.dir_cntrl0 1727 # Number of write requests responded to by this memory
-system.mem_ctrls.num_writes::total 1727 # Number of write requests responded to by this memory
-system.mem_ctrls.bw_read::ruby.dir_cntrl0 984834207 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_read::total 984834207 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::ruby.dir_cntrl0 982558450 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::total 982558450 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_total::ruby.dir_cntrl0 1967392657 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrls.bw_total::total 1967392657 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrls.readReqs 1731 # Number of read requests accepted
-system.mem_ctrls.writeReqs 1727 # Number of write requests accepted
-system.mem_ctrls.readBursts 1731 # Number of DRAM read bursts, including those serviced by the write queue
-system.mem_ctrls.writeBursts 1727 # Number of DRAM write bursts, including those merged in the write queue
-system.mem_ctrls.bytesReadDRAM 56704 # Total number of bytes read from DRAM
-system.mem_ctrls.bytesReadWrQ 54080 # Total number of bytes read from write queue
-system.mem_ctrls.bytesWritten 57088 # Total number of bytes written to DRAM
-system.mem_ctrls.bytesReadSys 110784 # Total read bytes from the system interface side
-system.mem_ctrls.bytesWrittenSys 110528 # Total written bytes from the system interface side
-system.mem_ctrls.servicedByWrQ 845 # Number of DRAM read bursts serviced by the write queue
-system.mem_ctrls.mergedWrBursts 803 # Number of DRAM write bursts merged with an existing one
-system.mem_ctrls.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.mem_ctrls.perBankRdBursts::0 83 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::1 50 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::2 70 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::3 63 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::4 108 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::5 23 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::6 1 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::7 3 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::8 0 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::9 1 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::10 55 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::11 36 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::12 18 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::13 270 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::14 81 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::15 24 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::0 82 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::1 51 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::2 73 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::3 60 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::4 126 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::5 27 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::6 1 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::7 3 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::8 0 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::9 1 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::10 50 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::11 33 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::12 12 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::13 268 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::14 81 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::15 24 # Per bank write bursts
-system.mem_ctrls.numRdRetry 0 # Number of times read queue was full causing retry
-system.mem_ctrls.numWrRetry 0 # Number of times write queue was full causing retry
-system.mem_ctrls.totGap 112412 # Total gap between requests
-system.mem_ctrls.readPktSize::0 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::1 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::2 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::3 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::4 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::5 0 # Read request sizes (log2)
-system.mem_ctrls.readPktSize::6 1731 # Read request sizes (log2)
-system.mem_ctrls.writePktSize::0 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::1 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::2 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::3 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::4 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::5 0 # Write request sizes (log2)
-system.mem_ctrls.writePktSize::6 1727 # Write request sizes (log2)
-system.mem_ctrls.rdQLenPdf::0 886 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::1 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::2 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::3 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::4 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::15 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::16 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::17 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::28 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::29 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::30 0 # What read queue length does an incoming req see
-system.mem_ctrls.rdQLenPdf::31 0 # What read queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::0 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::1 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::2 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::3 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::4 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::5 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::6 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::7 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::8 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::9 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::10 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::11 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::12 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::13 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::15 6 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::16 6 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::17 51 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::18 57 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::19 57 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::20 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::21 60 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::22 57 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::23 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::24 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::25 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::26 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::27 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::28 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::29 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::30 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::31 56 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::32 55 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::55 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::56 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::57 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::58 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::59 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::60 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::61 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::62 0 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.mem_ctrls.bytesPerActivate::samples 264 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::mean 424 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::gmean 260.079273 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::stdev 372.426347 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::0-127 66 25.00% 25.00% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::128-255 58 21.97% 46.97% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::256-383 27 10.23% 57.20% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::384-511 16 6.06% 63.26% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::512-639 17 6.44% 69.70% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::640-767 8 3.03% 72.73% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::768-895 12 4.55% 77.27% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::896-1023 10 3.79% 81.06% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::1024-1151 50 18.94% 100.00% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::total 264 # Bytes accessed per row activation
-system.mem_ctrls.rdPerTurnAround::samples 55 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::mean 15.818182 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::gmean 15.638991 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::stdev 2.938196 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::12-13 3 5.45% 5.45% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::14-15 24 43.64% 49.09% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::16-17 23 41.82% 90.91% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::18-19 4 7.27% 98.18% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::34-35 1 1.82% 100.00% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::total 55 # Reads before turning the bus around for writes
-system.mem_ctrls.wrPerTurnAround::samples 55 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::mean 16.218182 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::gmean 16.206001 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::stdev 0.658025 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::16 49 89.09% 89.09% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::17 1 1.82% 90.91% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::18 4 7.27% 98.18% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::19 1 1.82% 100.00% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::total 55 # Writes before turning the bus around for reads
-system.mem_ctrls.totQLat 16225 # Total ticks spent queuing
-system.mem_ctrls.totMemAccLat 33059 # Total ticks spent from burst creation until serviced by the DRAM
-system.mem_ctrls.totBusLat 4430 # Total ticks spent in databus transfers
-system.mem_ctrls.avgQLat 18.31 # Average queueing delay per DRAM burst
-system.mem_ctrls.avgBusLat 5.00 # Average bus latency per DRAM burst
-system.mem_ctrls.avgMemAccLat 37.31 # Average memory access latency per DRAM burst
-system.mem_ctrls.avgRdBW 504.08 # Average DRAM read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBW 507.49 # Average achieved write bandwidth in MiByte/s
-system.mem_ctrls.avgRdBWSys 984.83 # Average system read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBWSys 982.56 # Average system write bandwidth in MiByte/s
-system.mem_ctrls.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.mem_ctrls.busUtil 7.90 # Data bus utilization in percentage
-system.mem_ctrls.busUtilRead 3.94 # Data bus utilization in percentage for reads
-system.mem_ctrls.busUtilWrite 3.96 # Data bus utilization in percentage for writes
-system.mem_ctrls.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.mem_ctrls.avgWrQLen 26.10 # Average write queue length when enqueuing
-system.mem_ctrls.readRowHits 674 # Number of row buffer hits during reads
-system.mem_ctrls.writeRowHits 833 # Number of row buffer hits during writes
-system.mem_ctrls.readRowHitRate 76.07 # Row buffer hit rate for reads
-system.mem_ctrls.writeRowHitRate 90.15 # Row buffer hit rate for writes
-system.mem_ctrls.avgGap 32.51 # Average gap between requests
-system.mem_ctrls.pageHitRate 83.26 # Row buffer hit rate, read and write combined
-system.mem_ctrls_0.actEnergy 735420 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_0.preEnergy 386400 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_0.readEnergy 4581024 # Energy for read commands per rank (pJ)
-system.mem_ctrls_0.writeEnergy 3532896 # Energy for write commands per rank (pJ)
-system.mem_ctrls_0.refreshEnergy 8604960.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_0.actBackEnergy 13923048 # Energy for active background per rank (pJ)
-system.mem_ctrls_0.preBackEnergy 195072 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_0.actPowerDownEnergy 30921360 # Energy for active power-down per rank (pJ)
-system.mem_ctrls_0.prePowerDownEnergy 5237376 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrls_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.mem_ctrls_0.totalEnergy 68117556 # Total energy per rank (pJ)
-system.mem_ctrls_0.averagePower 605.543213 # Core power per rank (mW)
-system.mem_ctrls_0.totalIdleTime 81406 # Total Idle time Per DRAM Rank
-system.mem_ctrls_0.memoryStateTime::IDLE 88 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::REF 3640 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::SREF 0 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::PRE_PDN 13639 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT 27313 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT_PDN 67810 # Time in different power states
-system.mem_ctrls_1.actEnergy 1199520 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_1.preEnergy 633696 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_1.readEnergy 5540640 # Energy for read commands per rank (pJ)
-system.mem_ctrls_1.writeEnergy 3917088 # Energy for write commands per rank (pJ)
-system.mem_ctrls_1.refreshEnergy 8604960.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_1.actBackEnergy 12524952 # Energy for active background per rank (pJ)
-system.mem_ctrls_1.preBackEnergy 314880 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_1.actPowerDownEnergy 33139344 # Energy for active power-down per rank (pJ)
-system.mem_ctrls_1.prePowerDownEnergy 4427136 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrls_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.mem_ctrls_1.totalEnergy 70302216 # Total energy per rank (pJ)
-system.mem_ctrls_1.averagePower 624.964139 # Core power per rank (mW)
-system.mem_ctrls_1.totalIdleTime 83983 # Total Idle time Per DRAM Rank
-system.mem_ctrls_1.memoryStateTime::IDLE 260 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::REF 3640 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::SREF 0 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::PRE_PDN 11529 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT 24387 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT_PDN 72674 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.cpu.clk_domain.clock 1 # Clock period in ticks
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1185 # DTB read hits
-system.cpu.dtb.read_misses 7 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1192 # DTB read accesses
-system.cpu.dtb.write_hits 865 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 868 # DTB write accesses
-system.cpu.dtb.data_hits 2050 # DTB hits
-system.cpu.dtb.data_misses 10 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2060 # DTB accesses
-system.cpu.itb.fetch_hits 6414 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 6431 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 112490 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 112490 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6403 # Number of instructions committed
-system.cpu.committedOps 6403 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 6329 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
-system.cpu.num_func_calls 251 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 754 # number of instructions that are conditional controls
-system.cpu.num_int_insts 6329 # number of integer instructions
-system.cpu.num_fp_insts 10 # number of float instructions
-system.cpu.num_int_register_reads 8297 # number of times the integer registers were read
-system.cpu.num_int_register_writes 4575 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
-system.cpu.num_mem_refs 2060 # number of memory refs
-system.cpu.num_load_insts 1192 # Number of load instructions
-system.cpu.num_store_insts 868 # Number of store instructions
-system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 112490 # Number of busy cycles
-system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0 # Percentage of idle cycles
-system.cpu.Branches 1056 # Number of branches fetched
-system.cpu.op_class::No_OpClass 19 0.30% 0.30% # Class of executed instruction
-system.cpu.op_class::IntAlu 4331 67.53% 67.83% # Class of executed instruction
-system.cpu.op_class::IntMult 1 0.02% 67.85% # Class of executed instruction
-system.cpu.op_class::IntDiv 0 0.00% 67.85% # Class of executed instruction
-system.cpu.op_class::FloatAdd 2 0.03% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAddAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShift 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShiftAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::MemRead 1191 18.57% 86.45% # Class of executed instruction
-system.cpu.op_class::MemWrite 861 13.43% 99.88% # Class of executed instruction
-system.cpu.op_class::FloatMemRead 1 0.02% 99.89% # Class of executed instruction
-system.cpu.op_class::FloatMemWrite 7 0.11% 100.00% # Class of executed instruction
-system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 6413 # Class of executed instruction
-system.ruby.clk_domain.clock 1 # Clock period in ticks
-system.ruby.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.delayHist::bucket_size 1 # delay histogram for all message
-system.ruby.delayHist::max_bucket 9 # delay histogram for all message
-system.ruby.delayHist::samples 3458 # delay histogram for all message
-system.ruby.delayHist | 3458 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% # delay histogram for all message
-system.ruby.delayHist::total 3458 # delay histogram for all message
-system.ruby.outstanding_req_hist_seqr::bucket_size 1
-system.ruby.outstanding_req_hist_seqr::max_bucket 9
-system.ruby.outstanding_req_hist_seqr::samples 8464
-system.ruby.outstanding_req_hist_seqr::mean 1
-system.ruby.outstanding_req_hist_seqr::gmean 1
-system.ruby.outstanding_req_hist_seqr | 0 0.00% 0.00% | 8464 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.outstanding_req_hist_seqr::total 8464
-system.ruby.latency_hist_seqr::bucket_size 64
-system.ruby.latency_hist_seqr::max_bucket 639
-system.ruby.latency_hist_seqr::samples 8463
-system.ruby.latency_hist_seqr::mean 12.291977
-system.ruby.latency_hist_seqr::gmean 2.221869
-system.ruby.latency_hist_seqr::stdev 27.407806
-system.ruby.latency_hist_seqr | 7608 89.90% 89.90% | 798 9.43% 99.33% | 40 0.47% 99.80% | 5 0.06% 99.86% | 6 0.07% 99.93% | 6 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.latency_hist_seqr::total 8463
-system.ruby.hit_latency_hist_seqr::bucket_size 1
-system.ruby.hit_latency_hist_seqr::max_bucket 9
-system.ruby.hit_latency_hist_seqr::samples 6732
-system.ruby.hit_latency_hist_seqr::mean 1
-system.ruby.hit_latency_hist_seqr::gmean 1
-system.ruby.hit_latency_hist_seqr | 0 0.00% 0.00% | 6732 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.hit_latency_hist_seqr::total 6732
-system.ruby.miss_latency_hist_seqr::bucket_size 64
-system.ruby.miss_latency_hist_seqr::max_bucket 639
-system.ruby.miss_latency_hist_seqr::samples 1731
-system.ruby.miss_latency_hist_seqr::mean 56.207395
-system.ruby.miss_latency_hist_seqr::gmean 49.560362
-system.ruby.miss_latency_hist_seqr::stdev 35.333412
-system.ruby.miss_latency_hist_seqr | 876 50.61% 50.61% | 798 46.10% 96.71% | 40 2.31% 99.02% | 5 0.29% 99.31% | 6 0.35% 99.65% | 6 0.35% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.miss_latency_hist_seqr::total 1731
-system.ruby.Directory.incomplete_times_seqr 1730
-system.ruby.dir_cntrl0.forwardFromDir.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.dir_cntrl0.forwardFromDir.avg_stall_time 0.997813 # Average number of cycles messages are stalled in this MB
-system.ruby.dir_cntrl0.requestToDir.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.dir_cntrl0.requestToDir.avg_stall_time 11.743091 # Average number of cycles messages are stalled in this MB
-system.ruby.dir_cntrl0.responseFromDir.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.dir_cntrl0.responseFromDir.avg_stall_time 0.999387 # Average number of cycles messages are stalled in this MB
-system.ruby.dir_cntrl0.responseFromMemory.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.dir_cntrl0.responseFromMemory.avg_stall_time 0.999396 # Average number of cycles messages are stalled in this MB
-system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.l1_cntrl0.cacheMemory.demand_hits 6732 # Number of cache demand hits
-system.ruby.l1_cntrl0.cacheMemory.demand_misses 1731 # Number of cache demand misses
-system.ruby.l1_cntrl0.cacheMemory.demand_accesses 8463 # Number of cache demand accesses
-system.ruby.l1_cntrl0.forwardToCache.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.l1_cntrl0.forwardToCache.avg_stall_time 6.984319 # Average number of cycles messages are stalled in this MB
-system.ruby.l1_cntrl0.mandatoryQueue.avg_buf_msgs 0.075242 # Average number of messages in buffer
-system.ruby.l1_cntrl0.mandatoryQueue.avg_stall_time 0.999991 # Average number of cycles messages are stalled in this MB
-system.ruby.l1_cntrl0.requestFromCache.avg_buf_msgs 0.061480 # Average number of messages in buffer
-system.ruby.l1_cntrl0.requestFromCache.avg_stall_time 1.999947 # Average number of cycles messages are stalled in this MB
-system.ruby.l1_cntrl0.responseToCache.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.l1_cntrl0.responseToCache.avg_stall_time 6.995333 # Average number of cycles messages are stalled in this MB
-system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.memctrl_clk_domain.clock 3 # Clock period in ticks
-system.ruby.network.routers0.port_buffers03.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.network.routers0.port_buffers03.avg_stall_time 5.986612 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers0.port_buffers04.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.network.routers0.port_buffers04.avg_stall_time 5.996053 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers0.port_buffers07.avg_buf_msgs 0.092150 # Average number of messages in buffer
-system.ruby.network.routers0.port_buffers07.avg_stall_time 6.743802 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.percent_links_utilized 7.685128
-system.ruby.network.routers0.msg_count.Control::2 1731
-system.ruby.network.routers0.msg_count.Data::2 1727
-system.ruby.network.routers0.msg_count.Response_Data::4 1731
-system.ruby.network.routers0.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers0.msg_bytes.Control::2 13848
-system.ruby.network.routers0.msg_bytes.Data::2 124344
-system.ruby.network.routers0.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers0.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.routers1.port_buffers02.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.network.routers1.port_buffers02.avg_stall_time 10.743268 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers1.port_buffers06.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.network.routers1.port_buffers06.avg_stall_time 1.995609 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers1.port_buffers07.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.network.routers1.port_buffers07.avg_stall_time 1.998755 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers1.percent_links_utilized 7.685128
-system.ruby.network.routers1.msg_count.Control::2 1731
-system.ruby.network.routers1.msg_count.Data::2 1727
-system.ruby.network.routers1.msg_count.Response_Data::4 1731
-system.ruby.network.routers1.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers1.msg_bytes.Control::2 13848
-system.ruby.network.routers1.msg_bytes.Data::2 124344
-system.ruby.network.routers1.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers1.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.int_link_buffers02.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.network.int_link_buffers02.avg_stall_time 7.743695 # Average number of cycles messages are stalled in this MB
-system.ruby.network.int_link_buffers08.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.network.int_link_buffers08.avg_stall_time 2.993386 # Average number of cycles messages are stalled in this MB
-system.ruby.network.int_link_buffers09.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.network.int_link_buffers09.avg_stall_time 2.998107 # Average number of cycles messages are stalled in this MB
-system.ruby.network.int_link_buffers13.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.network.int_link_buffers13.avg_stall_time 4.988888 # Average number of cycles messages are stalled in this MB
-system.ruby.network.int_link_buffers14.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.network.int_link_buffers14.avg_stall_time 4.996755 # Average number of cycles messages are stalled in this MB
-system.ruby.network.int_link_buffers17.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.network.int_link_buffers17.avg_stall_time 9.743428 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers2.port_buffers03.avg_buf_msgs 0.015352 # Average number of messages in buffer
-system.ruby.network.routers2.port_buffers03.avg_stall_time 3.991146 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers2.port_buffers04.avg_buf_msgs 0.015388 # Average number of messages in buffer
-system.ruby.network.routers2.port_buffers04.avg_stall_time 3.997440 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers2.port_buffers07.avg_buf_msgs 0.030740 # Average number of messages in buffer
-system.ruby.network.routers2.port_buffers07.avg_stall_time 8.743571 # Average number of cycles messages are stalled in this MB
-system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers2.percent_links_utilized 7.685128
-system.ruby.network.routers2.msg_count.Control::2 1731
-system.ruby.network.routers2.msg_count.Data::2 1727
-system.ruby.network.routers2.msg_count.Response_Data::4 1731
-system.ruby.network.routers2.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers2.msg_bytes.Control::2 13848
-system.ruby.network.routers2.msg_bytes.Data::2 124344
-system.ruby.network.routers2.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers2.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.network.msg_count.Control 5193
-system.ruby.network.msg_count.Data 5181
-system.ruby.network.msg_count.Response_Data 5193
-system.ruby.network.msg_count.Writeback_Control 5181
-system.ruby.network.msg_byte.Control 41544
-system.ruby.network.msg_byte.Data 373032
-system.ruby.network.msg_byte.Response_Data 373896
-system.ruby.network.msg_byte.Writeback_Control 41448
-system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 112490 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.throttle0.link_utilization 7.692239
-system.ruby.network.routers0.throttle0.msg_count.Response_Data::4 1731
-system.ruby.network.routers0.throttle0.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers0.throttle0.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers0.throttle0.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.routers0.throttle1.link_utilization 7.678016
-system.ruby.network.routers0.throttle1.msg_count.Control::2 1731
-system.ruby.network.routers0.throttle1.msg_count.Data::2 1727
-system.ruby.network.routers0.throttle1.msg_bytes.Control::2 13848
-system.ruby.network.routers0.throttle1.msg_bytes.Data::2 124344
-system.ruby.network.routers1.throttle0.link_utilization 7.678016
-system.ruby.network.routers1.throttle0.msg_count.Control::2 1731
-system.ruby.network.routers1.throttle0.msg_count.Data::2 1727
-system.ruby.network.routers1.throttle0.msg_bytes.Control::2 13848
-system.ruby.network.routers1.throttle0.msg_bytes.Data::2 124344
-system.ruby.network.routers1.throttle1.link_utilization 7.692239
-system.ruby.network.routers1.throttle1.msg_count.Response_Data::4 1731
-system.ruby.network.routers1.throttle1.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers1.throttle1.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers1.throttle1.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.routers2.throttle0.link_utilization 7.692239
-system.ruby.network.routers2.throttle0.msg_count.Response_Data::4 1731
-system.ruby.network.routers2.throttle0.msg_count.Writeback_Control::3 1727
-system.ruby.network.routers2.throttle0.msg_bytes.Response_Data::4 124632
-system.ruby.network.routers2.throttle0.msg_bytes.Writeback_Control::3 13816
-system.ruby.network.routers2.throttle1.link_utilization 7.678016
-system.ruby.network.routers2.throttle1.msg_count.Control::2 1731
-system.ruby.network.routers2.throttle1.msg_count.Data::2 1727
-system.ruby.network.routers2.throttle1.msg_bytes.Control::2 13848
-system.ruby.network.routers2.throttle1.msg_bytes.Data::2 124344
-system.ruby.delayVCHist.vnet_1::bucket_size 1 # delay histogram for vnet_1
-system.ruby.delayVCHist.vnet_1::max_bucket 9 # delay histogram for vnet_1
-system.ruby.delayVCHist.vnet_1::samples 1731 # delay histogram for vnet_1
-system.ruby.delayVCHist.vnet_1 | 1731 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% # delay histogram for vnet_1
-system.ruby.delayVCHist.vnet_1::total 1731 # delay histogram for vnet_1
-system.ruby.delayVCHist.vnet_2::bucket_size 1 # delay histogram for vnet_2
-system.ruby.delayVCHist.vnet_2::max_bucket 9 # delay histogram for vnet_2
-system.ruby.delayVCHist.vnet_2::samples 1727 # delay histogram for vnet_2
-system.ruby.delayVCHist.vnet_2 | 1727 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% # delay histogram for vnet_2
-system.ruby.delayVCHist.vnet_2::total 1727 # delay histogram for vnet_2
-system.ruby.LD.latency_hist_seqr::bucket_size 64
-system.ruby.LD.latency_hist_seqr::max_bucket 639
-system.ruby.LD.latency_hist_seqr::samples 1185
-system.ruby.LD.latency_hist_seqr::mean 33.356118
-system.ruby.LD.latency_hist_seqr::gmean 10.708915
-system.ruby.LD.latency_hist_seqr::stdev 36.387225
-system.ruby.LD.latency_hist_seqr | 862 72.74% 72.74% | 301 25.40% 98.14% | 16 1.35% 99.49% | 3 0.25% 99.75% | 1 0.08% 99.83% | 2 0.17% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.latency_hist_seqr::total 1185
-system.ruby.LD.hit_latency_hist_seqr::bucket_size 1
-system.ruby.LD.hit_latency_hist_seqr::max_bucket 9
-system.ruby.LD.hit_latency_hist_seqr::samples 457
-system.ruby.LD.hit_latency_hist_seqr::mean 1
-system.ruby.LD.hit_latency_hist_seqr::gmean 1
-system.ruby.LD.hit_latency_hist_seqr | 0 0.00% 0.00% | 457 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.hit_latency_hist_seqr::total 457
-system.ruby.LD.miss_latency_hist_seqr::bucket_size 64
-system.ruby.LD.miss_latency_hist_seqr::max_bucket 639
-system.ruby.LD.miss_latency_hist_seqr::samples 728
-system.ruby.LD.miss_latency_hist_seqr::mean 53.667582
-system.ruby.LD.miss_latency_hist_seqr::gmean 47.442261
-system.ruby.LD.miss_latency_hist_seqr::stdev 32.940895
-system.ruby.LD.miss_latency_hist_seqr | 405 55.63% 55.63% | 301 41.35% 96.98% | 16 2.20% 99.18% | 3 0.41% 99.59% | 1 0.14% 99.73% | 2 0.27% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.miss_latency_hist_seqr::total 728
-system.ruby.ST.latency_hist_seqr::bucket_size 32
-system.ruby.ST.latency_hist_seqr::max_bucket 319
-system.ruby.ST.latency_hist_seqr::samples 865
-system.ruby.ST.latency_hist_seqr::mean 17.479769
-system.ruby.ST.latency_hist_seqr::gmean 3.361529
-system.ruby.ST.latency_hist_seqr::stdev 31.340829
-system.ruby.ST.latency_hist_seqr | 592 68.44% 68.44% | 160 18.50% 86.94% | 102 11.79% 98.73% | 0 0.00% 98.73% | 4 0.46% 99.19% | 4 0.46% 99.65% | 1 0.12% 99.77% | 0 0.00% 99.77% | 1 0.12% 99.88% | 1 0.12% 100.00%
-system.ruby.ST.latency_hist_seqr::total 865
-system.ruby.ST.hit_latency_hist_seqr::bucket_size 1
-system.ruby.ST.hit_latency_hist_seqr::max_bucket 9
-system.ruby.ST.hit_latency_hist_seqr::samples 592
-system.ruby.ST.hit_latency_hist_seqr::mean 1
-system.ruby.ST.hit_latency_hist_seqr::gmean 1
-system.ruby.ST.hit_latency_hist_seqr | 0 0.00% 0.00% | 592 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.ST.hit_latency_hist_seqr::total 592
-system.ruby.ST.miss_latency_hist_seqr::bucket_size 32
-system.ruby.ST.miss_latency_hist_seqr::max_bucket 319
-system.ruby.ST.miss_latency_hist_seqr::samples 273
-system.ruby.ST.miss_latency_hist_seqr::mean 53.216117
-system.ruby.ST.miss_latency_hist_seqr::gmean 46.594106
-system.ruby.ST.miss_latency_hist_seqr::stdev 35.315815
-system.ruby.ST.miss_latency_hist_seqr | 0 0.00% 0.00% | 160 58.61% 58.61% | 102 37.36% 95.97% | 0 0.00% 95.97% | 4 1.47% 97.44% | 4 1.47% 98.90% | 1 0.37% 99.27% | 0 0.00% 99.27% | 1 0.37% 99.63% | 1 0.37% 100.00%
-system.ruby.ST.miss_latency_hist_seqr::total 273
-system.ruby.IFETCH.latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.latency_hist_seqr::samples 6413
-system.ruby.IFETCH.latency_hist_seqr::mean 7.699984
-system.ruby.IFETCH.latency_hist_seqr::gmean 1.571280
-system.ruby.IFETCH.latency_hist_seqr::stdev 22.534194
-system.ruby.IFETCH.latency_hist_seqr | 5994 93.47% 93.47% | 395 6.16% 99.63% | 16 0.25% 99.88% | 1 0.02% 99.89% | 3 0.05% 99.94% | 4 0.06% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.latency_hist_seqr::total 6413
-system.ruby.IFETCH.hit_latency_hist_seqr::bucket_size 1
-system.ruby.IFETCH.hit_latency_hist_seqr::max_bucket 9
-system.ruby.IFETCH.hit_latency_hist_seqr::samples 5683
-system.ruby.IFETCH.hit_latency_hist_seqr::mean 1
-system.ruby.IFETCH.hit_latency_hist_seqr::gmean 1
-system.ruby.IFETCH.hit_latency_hist_seqr | 0 0.00% 0.00% | 5683 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.hit_latency_hist_seqr::total 5683
-system.ruby.IFETCH.miss_latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.miss_latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.miss_latency_hist_seqr::samples 730
-system.ruby.IFETCH.miss_latency_hist_seqr::mean 59.858904
-system.ruby.IFETCH.miss_latency_hist_seqr::gmean 52.975537
-system.ruby.IFETCH.miss_latency_hist_seqr::stdev 37.310775
-system.ruby.IFETCH.miss_latency_hist_seqr | 311 42.60% 42.60% | 395 54.11% 96.71% | 16 2.19% 98.90% | 1 0.14% 99.04% | 3 0.41% 99.45% | 4 0.55% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.miss_latency_hist_seqr::total 730
-system.ruby.Directory.miss_mach_latency_hist_seqr::bucket_size 64
-system.ruby.Directory.miss_mach_latency_hist_seqr::max_bucket 639
-system.ruby.Directory.miss_mach_latency_hist_seqr::samples 1731
-system.ruby.Directory.miss_mach_latency_hist_seqr::mean 56.207395
-system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 49.560362
-system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 35.333412
-system.ruby.Directory.miss_mach_latency_hist_seqr | 876 50.61% 50.61% | 798 46.10% 96.71% | 40 2.31% 99.02% | 5 0.29% 99.31% | 6 0.35% 99.65% | 6 0.35% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_mach_latency_hist_seqr::total 1731
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::total 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.initial_to_forward::total 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::bucket_size 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::max_bucket 9
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response | 1 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.forward_to_first_response::total 1
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::bucket_size 8
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::max_bucket 79
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::samples 1
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::mean 75
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::gmean 75.000000
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::stdev nan
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 0 0.00% 0.00% | 1 100.00% 100.00%
-system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::total 1
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::samples 728
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 53.667582
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 47.442261
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 32.940895
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 405 55.63% 55.63% | 301 41.35% 96.98% | 16 2.20% 99.18% | 3 0.41% 99.59% | 1 0.14% 99.73% | 2 0.27% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::total 728
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::bucket_size 32
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::max_bucket 319
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::samples 273
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 53.216117
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 46.594106
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 35.315815
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 160 58.61% 58.61% | 102 37.36% 95.97% | 0 0.00% 95.97% | 4 1.47% 97.44% | 4 1.47% 98.90% | 1 0.37% 99.27% | 0 0.00% 99.27% | 1 0.37% 99.63% | 1 0.37% 100.00%
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::total 273
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::samples 730
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 59.858904
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 52.975537
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 37.310775
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 311 42.60% 42.60% | 395 54.11% 96.71% | 16 2.19% 98.90% | 1 0.14% 99.04% | 3 0.41% 99.45% | 4 0.55% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::total 730
-system.ruby.Directory_Controller.GETX 1731 0.00% 0.00%
-system.ruby.Directory_Controller.PUTX 1727 0.00% 0.00%
-system.ruby.Directory_Controller.Memory_Data 1731 0.00% 0.00%
-system.ruby.Directory_Controller.Memory_Ack 1727 0.00% 0.00%
-system.ruby.Directory_Controller.I.GETX 1731 0.00% 0.00%
-system.ruby.Directory_Controller.M.PUTX 1727 0.00% 0.00%
-system.ruby.Directory_Controller.IM.Memory_Data 1731 0.00% 0.00%
-system.ruby.Directory_Controller.MI.Memory_Ack 1727 0.00% 0.00%
-system.ruby.L1Cache_Controller.Load 1185 0.00% 0.00%
-system.ruby.L1Cache_Controller.Ifetch 6413 0.00% 0.00%
-system.ruby.L1Cache_Controller.Store 865 0.00% 0.00%
-system.ruby.L1Cache_Controller.Data 1731 0.00% 0.00%
-system.ruby.L1Cache_Controller.Replacement 1727 0.00% 0.00%
-system.ruby.L1Cache_Controller.Writeback_Ack 1727 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Load 728 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Ifetch 730 0.00% 0.00%
-system.ruby.L1Cache_Controller.I.Store 273 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Load 457 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Ifetch 5683 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Store 592 0.00% 0.00%
-system.ruby.L1Cache_Controller.M.Replacement 1727 0.00% 0.00%
-system.ruby.L1Cache_Controller.MI.Writeback_Ack 1727 0.00% 0.00%
-system.ruby.L1Cache_Controller.IS.Data 1458 0.00% 0.00%
-system.ruby.L1Cache_Controller.IM.Data 273 0.00% 0.00%
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini
deleted file mode 100644
index d2de1569b..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini
+++ /dev/null
@@ -1,366 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=TimingSimpleCPU
-children=dcache dtb icache interrupts isa itb l2cache toL2Bus tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.cpu_clk_domain
-cpu_id=0
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=20
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=Null
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port
-slave=system.system_port system.cpu.l2cache.mem_side
-
-[system.physmem]
-type=SimpleMemory
-bandwidth=73.000000
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-eventq_index=0
-in_addr_map=true
-latency=30000
-latency_var=0
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-range=0:134217727
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr
deleted file mode 100755
index aadc3d011..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr
+++ /dev/null
@@ -1,2 +0,0 @@
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout
deleted file mode 100755
index 7b601dbe7..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout
+++ /dev/null
@@ -1,15 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Jul 19 2016 12:23:51
-gem5 started Jul 19 2016 12:24:28
-gem5 executing on e108600-lin, pid 39614
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/alpha/linux/simple-timing
-
-Global frequency set at 1000000000000 ticks per second
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 35682500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
deleted file mode 100644
index e0ad70e4b..000000000
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
+++ /dev/null
@@ -1,525 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000036 # Number of seconds simulated
-sim_ticks 36128500 # Number of ticks simulated
-final_tick 36128500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 739000 # Simulator instruction rate (inst/s)
-host_op_rate 738191 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 4160971439 # Simulator tick rate (ticks/s)
-host_mem_usage 251728 # Number of bytes of host memory used
-host_seconds 0.01 # Real time elapsed on the host
-sim_insts 6403 # Number of instructions simulated
-sim_ops 6403 # Number of ops (including micro ops) simulated
-system.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.physmem.bytes_read::cpu.inst 17792 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 10752 # Number of bytes read from this memory
-system.physmem.bytes_read::total 28544 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 17792 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 17792 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 278 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 168 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 446 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 492464398 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 297604384 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 790068782 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 492464398 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 492464398 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 492464398 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 297604384 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 790068782 # Total bandwidth to/from this memory (bytes/s)
-system.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1185 # DTB read hits
-system.cpu.dtb.read_misses 7 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1192 # DTB read accesses
-system.cpu.dtb.write_hits 865 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 868 # DTB write accesses
-system.cpu.dtb.data_hits 2050 # DTB hits
-system.cpu.dtb.data_misses 10 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2060 # DTB accesses
-system.cpu.itb.fetch_hits 6414 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 6431 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 72257 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6403 # Number of instructions committed
-system.cpu.committedOps 6403 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 6329 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
-system.cpu.num_func_calls 251 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 754 # number of instructions that are conditional controls
-system.cpu.num_int_insts 6329 # number of integer instructions
-system.cpu.num_fp_insts 10 # number of float instructions
-system.cpu.num_int_register_reads 8297 # number of times the integer registers were read
-system.cpu.num_int_register_writes 4575 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
-system.cpu.num_mem_refs 2060 # number of memory refs
-system.cpu.num_load_insts 1192 # Number of load instructions
-system.cpu.num_store_insts 868 # Number of store instructions
-system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 72257 # Number of busy cycles
-system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0 # Percentage of idle cycles
-system.cpu.Branches 1056 # Number of branches fetched
-system.cpu.op_class::No_OpClass 19 0.30% 0.30% # Class of executed instruction
-system.cpu.op_class::IntAlu 4331 67.53% 67.83% # Class of executed instruction
-system.cpu.op_class::IntMult 1 0.02% 67.85% # Class of executed instruction
-system.cpu.op_class::IntDiv 0 0.00% 67.85% # Class of executed instruction
-system.cpu.op_class::FloatAdd 2 0.03% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::FloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAddAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShift 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdShiftAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAdd 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatAlu 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCmp 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatCvt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatDiv 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMisc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMult 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 67.88% # Class of executed instruction
-system.cpu.op_class::MemRead 1191 18.57% 86.45% # Class of executed instruction
-system.cpu.op_class::MemWrite 861 13.43% 99.88% # Class of executed instruction
-system.cpu.op_class::FloatMemRead 1 0.02% 99.89% # Class of executed instruction
-system.cpu.op_class::FloatMemWrite 7 0.11% 100.00% # Class of executed instruction
-system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 6413 # Class of executed instruction
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 103.721081 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 1882 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 168 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 11.202381 # Average number of references to valid blocks.
-system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 103.721081 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.025323 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.025323 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 168 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 24 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 144 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.041016 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 4268 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 4268 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.ReadReq_hits::cpu.data 1090 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1090 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 792 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 792 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 1882 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 1882 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 1882 # number of overall hits
-system.cpu.dcache.overall_hits::total 1882 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 95 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 95 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 73 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 73 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 168 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 168 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 168 # number of overall misses
-system.cpu.dcache.overall_misses::total 168 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 5985000 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 5985000 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 4599000 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 4599000 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 10584000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 10584000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 10584000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 10584000 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 1185 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 1185 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2050 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2050 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2050 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2050 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.080169 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.080169 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.084393 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.084393 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.081951 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.081951 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.081951 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.081951 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 63000 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 63000 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 63000 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 63000 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 63000 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 63000 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 63000 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 63000 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 95 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 95 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 73 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 73 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 168 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 168 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 168 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 168 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 5890000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 5890000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4526000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 4526000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10416000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 10416000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10416000 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 10416000 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.080169 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.080169 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.081951 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.081951 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.081951 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.081951 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 62000 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 62000 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 62000 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 62000 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 62000 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 62000 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 62000 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 62000 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 127.170991 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 6135 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 279 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 21.989247 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 127.170991 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.062095 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.062095 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 279 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 94 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 185 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.136230 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 13107 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 13107 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 6135 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 6135 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 6135 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 6135 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 6135 # number of overall hits
-system.cpu.icache.overall_hits::total 6135 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 279 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 279 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 279 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 279 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 279 # number of overall misses
-system.cpu.icache.overall_misses::total 279 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 17528500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 17528500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 17528500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 17528500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 17528500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 17528500 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 6414 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 6414 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 6414 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 6414 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 6414 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 6414 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.043499 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.043499 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.043499 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.043499 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.043499 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.043499 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 62826.164875 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 62826.164875 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 62826.164875 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 62826.164875 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 62826.164875 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 62826.164875 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 279 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 279 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 279 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 279 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 279 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 279 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 17249500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 17249500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 17249500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 17249500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 17249500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 17249500 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.043499 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.043499 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.043499 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.043499 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.043499 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.043499 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 61826.164875 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 61826.164875 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 61826.164875 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 61826.164875 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 61826.164875 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 61826.164875 # average overall mshr miss latency
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 230.937880 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 446 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 0.002242 # Average number of references to valid blocks.
-system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 127.167974 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 103.769906 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.003881 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.003167 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.007048 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 446 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 117 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 329 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.013611 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 4022 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 4022 # Number of data accesses
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 1 # number of ReadCleanReq hits
-system.cpu.l2cache.ReadCleanReq_hits::total 1 # number of ReadCleanReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 1 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 1 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1 # number of overall hits
-system.cpu.l2cache.ReadExReq_misses::cpu.data 73 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 73 # number of ReadExReq misses
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 278 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadCleanReq_misses::total 278 # number of ReadCleanReq misses
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 95 # number of ReadSharedReq misses
-system.cpu.l2cache.ReadSharedReq_misses::total 95 # number of ReadSharedReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 278 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 168 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 446 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 278 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 168 # number of overall misses
-system.cpu.l2cache.overall_misses::total 446 # number of overall misses
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4416500 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 4416500 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 16819500 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 16819500 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 5747500 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 5747500 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 16819500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10164000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 26983500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 16819500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10164000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 26983500 # number of overall miss cycles
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 73 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 73 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 279 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadCleanReq_accesses::total 279 # number of ReadCleanReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 95 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.ReadSharedReq_accesses::total 95 # number of ReadSharedReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 279 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 168 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 447 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 279 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 168 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 447 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.996416 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.996416 # miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1 # miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996416 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.997763 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996416 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.997763 # miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 60500 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 60500 # average ReadExReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 60501.798561 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 60501.798561 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 60500 # average ReadSharedReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 60500 # average ReadSharedReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 60501.798561 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 60500 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 60501.121076 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 60501.798561 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 60500 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 60501.121076 # average overall miss latency
-system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 73 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 73 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 278 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 278 # number of ReadCleanReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 95 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 95 # number of ReadSharedReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 278 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 168 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 446 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 278 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 168 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 446 # number of overall MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3686500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3686500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 14039500 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 14039500 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 4797500 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 4797500 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 14039500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8484000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 22523500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 14039500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8484000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 22523500 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.996416 # mshr miss rate for ReadCleanReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1 # mshr miss rate for ReadSharedReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.997763 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996416 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.997763 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 50500 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 50500 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 50501.798561 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 50501.798561 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 50500 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 50500 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 50501.798561 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 50500 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 50501.121076 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 50501.798561 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 50500 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 50501.121076 # average overall mshr miss latency
-system.cpu.toL2Bus.snoop_filter.tot_requests 447 # Total number of requests made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 1 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.cpu.toL2Bus.trans_dist::ReadResp 374 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 73 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 73 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 279 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 95 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 558 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 336 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 894 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17856 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10752 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_size::total 28608 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.snoops 0 # Total snoops (count)
-system.cpu.toL2Bus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.cpu.toL2Bus.snoop_fanout::samples 447 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::mean 0.002237 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::stdev 0.047298 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::0 446 99.78% 99.78% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::1 1 0.22% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::min_value 0 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
-system.cpu.toL2Bus.snoop_fanout::total 447 # Request fanout histogram
-system.cpu.toL2Bus.reqLayer0.occupancy 223500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.reqLayer0.utilization 0.6 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 418500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 1.2 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 252000 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer1.utilization 0.7 # Layer utilization (%)
-system.membus.snoop_filter.tot_requests 446 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 36128500 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadResp 373 # Transaction distribution
-system.membus.trans_dist::ReadExReq 73 # Transaction distribution
-system.membus.trans_dist::ReadExResp 73 # Transaction distribution
-system.membus.trans_dist::ReadSharedReq 373 # Transaction distribution
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 892 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 892 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 446 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 446 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 446 # Request fanout histogram
-system.membus.reqLayer0.occupancy 446500 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 1.2 # Layer utilization (%)
-system.membus.respLayer1.occupancy 2230000 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 6.2 # Layer utilization (%)
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/config.ini b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/config.ini
deleted file mode 100644
index d465f3325..000000000
--- a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/config.ini
+++ /dev/null
@@ -1,908 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu cpu_clk_domain dvfs_handler membus physmem voltage_domain
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=
-memories=system.physmem
-mmap_using_noreserve=false
-multi_thread=true
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[0]
-
-[system.clk_domain]
-type=SrcClockDomain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.cpu]
-type=DerivO3CPU
-children=branchPred dcache dtb fuPool icache interrupts0 interrupts1 isa0 isa1 itb l2cache toL2Bus tracer workload0 workload1
-LFSTSize=1024
-LQEntries=32
-LSQCheckLoads=true
-LSQDepCheckShift=4
-SQEntries=32
-SSITSize=1024
-activity=0
-backComSize=5
-branchPred=system.cpu.branchPred
-cacheStorePorts=200
-checker=Null
-clk_domain=system.cpu_clk_domain
-commitToDecodeDelay=1
-commitToFetchDelay=1
-commitToIEWDelay=1
-commitToRenameDelay=1
-commitWidth=8
-cpu_id=0
-decodeToFetchDelay=1
-decodeToRenameDelay=1
-decodeWidth=8
-default_p_state=UNDEFINED
-dispatchWidth=8
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-fetchBufferSize=64
-fetchQueueSize=32
-fetchToDecodeDelay=1
-fetchTrapLatency=1
-fetchWidth=8
-forwardComSize=5
-fuPool=system.cpu.fuPool
-function_trace=false
-function_trace_start=0
-iewToCommitDelay=1
-iewToDecodeDelay=1
-iewToFetchDelay=1
-iewToRenameDelay=1
-interrupts=system.cpu.interrupts0 system.cpu.interrupts1
-isa=system.cpu.isa0 system.cpu.isa1
-issueToExecuteDelay=1
-issueWidth=8
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-needsTSO=false
-numIQEntries=64
-numPhysCCRegs=0
-numPhysFloatRegs=256
-numPhysIntRegs=256
-numROBEntries=192
-numRobs=1
-numThreads=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-renameToDecodeDelay=1
-renameToFetchDelay=1
-renameToIEWDelay=2
-renameToROBDelay=1
-renameWidth=8
-simpoint_start_insts=
-smtCommitPolicy=RoundRobin
-smtFetchPolicy=SingleThread
-smtIQPolicy=Partitioned
-smtIQThreshold=100
-smtLSQPolicy=Partitioned
-smtLSQThreshold=100
-smtNumFetchingThreads=1
-smtROBPolicy=Partitioned
-smtROBThreshold=100
-socket_id=0
-squashWidth=8
-store_set_clear_period=250000
-switched_out=false
-syscallRetryLatency=10000
-system=system
-tracer=system.cpu.tracer
-trapLatency=13
-wbWidth=8
-workload=system.cpu.workload0 system.cpu.workload1
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.branchPred]
-type=TournamentBP
-BTBEntries=4096
-BTBTagSize=16
-RASSize=16
-choiceCtrBits=2
-choicePredictorSize=8192
-eventq_index=0
-globalCtrBits=2
-globalPredictorSize=8192
-indirectHashGHR=true
-indirectHashTargets=true
-indirectPathLength=3
-indirectSets=256
-indirectTagSize=16
-indirectWays=2
-instShiftAmt=2
-localCtrBits=2
-localHistoryTableSize=2048
-localPredictorSize=2048
-numThreads=2
-useIndirect=true
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=262144
-system=system
-tag_latency=2
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.cpu.toL2Bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-tag_latency=2
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.fuPool]
-type=FUPool
-children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
-FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
-eventq_index=0
-
-[system.cpu.fuPool.FUList0]
-type=FUDesc
-children=opList
-count=6
-eventq_index=0
-opList=system.cpu.fuPool.FUList0.opList
-
-[system.cpu.fuPool.FUList0.opList]
-type=OpDesc
-eventq_index=0
-opClass=IntAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList1]
-type=FUDesc
-children=opList0 opList1
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
-
-[system.cpu.fuPool.FUList1.opList0]
-type=OpDesc
-eventq_index=0
-opClass=IntMult
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList1.opList1]
-type=OpDesc
-eventq_index=0
-opClass=IntDiv
-opLat=20
-pipelined=false
-
-[system.cpu.fuPool.FUList2]
-type=FUDesc
-children=opList0 opList1 opList2
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
-
-[system.cpu.fuPool.FUList2.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatAdd
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatCmp
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList2.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatCvt
-opLat=2
-pipelined=true
-
-[system.cpu.fuPool.FUList3]
-type=FUDesc
-children=opList0 opList1 opList2 opList3 opList4
-count=2
-eventq_index=0
-opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2 system.cpu.fuPool.FUList3.opList3 system.cpu.fuPool.FUList3.opList4
-
-[system.cpu.fuPool.FUList3.opList0]
-type=OpDesc
-eventq_index=0
-opClass=FloatMult
-opLat=4
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMultAcc
-opLat=5
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMisc
-opLat=3
-pipelined=true
-
-[system.cpu.fuPool.FUList3.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatDiv
-opLat=12
-pipelined=false
-
-[system.cpu.fuPool.FUList3.opList4]
-type=OpDesc
-eventq_index=0
-opClass=FloatSqrt
-opLat=24
-pipelined=false
-
-[system.cpu.fuPool.FUList4]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList4.opList0 system.cpu.fuPool.FUList4.opList1
-
-[system.cpu.fuPool.FUList4.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList4.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5]
-type=FUDesc
-children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
-
-[system.cpu.fuPool.FUList5.opList00]
-type=OpDesc
-eventq_index=0
-opClass=SimdAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList01]
-type=OpDesc
-eventq_index=0
-opClass=SimdAddAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList02]
-type=OpDesc
-eventq_index=0
-opClass=SimdAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList03]
-type=OpDesc
-eventq_index=0
-opClass=SimdCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList04]
-type=OpDesc
-eventq_index=0
-opClass=SimdCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList05]
-type=OpDesc
-eventq_index=0
-opClass=SimdMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList06]
-type=OpDesc
-eventq_index=0
-opClass=SimdMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList07]
-type=OpDesc
-eventq_index=0
-opClass=SimdMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList08]
-type=OpDesc
-eventq_index=0
-opClass=SimdShift
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList09]
-type=OpDesc
-eventq_index=0
-opClass=SimdShiftAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList10]
-type=OpDesc
-eventq_index=0
-opClass=SimdSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList11]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAdd
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList12]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatAlu
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList13]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCmp
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList14]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatCvt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList15]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatDiv
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList16]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMisc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList17]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMult
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList18]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatMultAcc
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList5.opList19]
-type=OpDesc
-eventq_index=0
-opClass=SimdFloatSqrt
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6]
-type=FUDesc
-children=opList0 opList1
-count=0
-eventq_index=0
-opList=system.cpu.fuPool.FUList6.opList0 system.cpu.fuPool.FUList6.opList1
-
-[system.cpu.fuPool.FUList6.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList6.opList1]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7]
-type=FUDesc
-children=opList0 opList1 opList2 opList3
-count=4
-eventq_index=0
-opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1 system.cpu.fuPool.FUList7.opList2 system.cpu.fuPool.FUList7.opList3
-
-[system.cpu.fuPool.FUList7.opList0]
-type=OpDesc
-eventq_index=0
-opClass=MemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList1]
-type=OpDesc
-eventq_index=0
-opClass=MemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList2]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemRead
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList7.opList3]
-type=OpDesc
-eventq_index=0
-opClass=FloatMemWrite
-opLat=1
-pipelined=true
-
-[system.cpu.fuPool.FUList8]
-type=FUDesc
-children=opList
-count=1
-eventq_index=0
-opList=system.cpu.fuPool.FUList8.opList
-
-[system.cpu.fuPool.FUList8.opList]
-type=OpDesc
-eventq_index=0
-opClass=IprAccess
-opLat=3
-pipelined=false
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=2
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=true
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=131072
-system=system
-tag_latency=2
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=true
-cpu_side=system.cpu.icache_port
-mem_side=system.cpu.toL2Bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=2
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=131072
-tag_latency=2
-
-[system.cpu.interrupts0]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.interrupts1]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa0]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.isa1]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.cpu_clk_domain
-clusivity=mostly_incl
-data_latency=20
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=2097152
-system=system
-tag_latency=20
-tags=system.cpu.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.toL2Bus.master[0]
-mem_side=system.membus.slave[1]
-
-[system.cpu.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.cpu_clk_domain
-data_latency=20
-default_p_state=UNDEFINED
-eventq_index=0
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=2097152
-tag_latency=20
-
-[system.cpu.toL2Bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.cpu_clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.cpu.toL2Bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.cpu.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side
-
-[system.cpu.toL2Bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload0]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=100
-ppid=0
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu.workload1]
-type=Process
-cmd=hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=/usr/local/google/home/gabeblack/gem5/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
-gid=100
-input=cin
-kvmInSE=false
-maxStackSize=67108864
-output=cout
-pgid=100
-pid=101
-ppid=100
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.cpu_clk_domain]
-type=SrcClockDomain
-clock=500
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.voltage_domain
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.physmem.port
-slave=system.system_port system.cpu.l2cache.mem_side
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
-[system.physmem]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:134217727:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
diff --git a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simerr b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simerr
deleted file mode 100755
index b1d1f26e1..000000000
--- a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simerr
+++ /dev/null
@@ -1,7 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (128 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
-warn: ClockedObject: Already in the requested power state, request ignored
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-info: Increasing stack size by one page.
diff --git a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simout b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simout
deleted file mode 100755
index 45d42fd47..000000000
--- a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/simout
+++ /dev/null
@@ -1,14 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Mar 29 2017 21:52:42
-gem5 started Mar 29 2017 21:52:53
-gem5 executing on gabeblack-desktop.mtv.corp.google.com, pid 118268
-command line: /usr/local/google/home/gabeblack/gem5/gem5-public/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt --stats-file 'text://stats.txt?desc=False' -re /usr/local/google/home/gabeblack/gem5/gem5-public/tests/testing/../run.py quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt
-
-Global frequency set at 1000000000000 ticks per second
-Hello world!
-Hello world!
-Exiting @ tick 27117500 because exiting with last active thread context
diff --git a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/stats.txt b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/stats.txt
deleted file mode 100644
index 1efa6d783..000000000
--- a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing-mt/stats.txt
+++ /dev/null
@@ -1,1185 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000027
-sim_ticks 27117500
-final_tick 27117500
-sim_freq 1000000000000
-host_inst_rate 121145
-host_op_rate 121128
-host_tick_rate 257188425
-host_mem_usage 265776
-host_seconds 0.11
-sim_insts 12770
-sim_ops 12770
-system.voltage_domain.voltage 1
-system.clk_domain.clock 1000
-system.physmem.pwrStateResidencyTicks::UNDEFINED 27117500
-system.physmem.bytes_read::cpu.inst 39680
-system.physmem.bytes_read::cpu.data 21888
-system.physmem.bytes_read::total 61568
-system.physmem.bytes_inst_read::cpu.inst 39680
-system.physmem.bytes_inst_read::total 39680
-system.physmem.num_reads::cpu.inst 620
-system.physmem.num_reads::cpu.data 342
-system.physmem.num_reads::total 962
-system.physmem.bw_read::cpu.inst 1463261731
-system.physmem.bw_read::cpu.data 807154052
-system.physmem.bw_read::total 2270415783
-system.physmem.bw_inst_read::cpu.inst 1463261731
-system.physmem.bw_inst_read::total 1463261731
-system.physmem.bw_total::cpu.inst 1463261731
-system.physmem.bw_total::cpu.data 807154052
-system.physmem.bw_total::total 2270415783
-system.physmem.readReqs 963
-system.physmem.writeReqs 0
-system.physmem.readBursts 963
-system.physmem.writeBursts 0
-system.physmem.bytesReadDRAM 61632
-system.physmem.bytesReadWrQ 0
-system.physmem.bytesWritten 0
-system.physmem.bytesReadSys 61632
-system.physmem.bytesWrittenSys 0
-system.physmem.servicedByWrQ 0
-system.physmem.mergedWrBursts 0
-system.physmem.neitherReadNorWriteReqs 0
-system.physmem.perBankRdBursts::0 82
-system.physmem.perBankRdBursts::1 150
-system.physmem.perBankRdBursts::2 77
-system.physmem.perBankRdBursts::3 59
-system.physmem.perBankRdBursts::4 88
-system.physmem.perBankRdBursts::5 45
-system.physmem.perBankRdBursts::6 32
-system.physmem.perBankRdBursts::7 50
-system.physmem.perBankRdBursts::8 42
-system.physmem.perBankRdBursts::9 38
-system.physmem.perBankRdBursts::10 28
-system.physmem.perBankRdBursts::11 33
-system.physmem.perBankRdBursts::12 15
-system.physmem.perBankRdBursts::13 120
-system.physmem.perBankRdBursts::14 67
-system.physmem.perBankRdBursts::15 37
-system.physmem.perBankWrBursts::0 0
-system.physmem.perBankWrBursts::1 0
-system.physmem.perBankWrBursts::2 0
-system.physmem.perBankWrBursts::3 0
-system.physmem.perBankWrBursts::4 0
-system.physmem.perBankWrBursts::5 0
-system.physmem.perBankWrBursts::6 0
-system.physmem.perBankWrBursts::7 0
-system.physmem.perBankWrBursts::8 0
-system.physmem.perBankWrBursts::9 0
-system.physmem.perBankWrBursts::10 0
-system.physmem.perBankWrBursts::11 0
-system.physmem.perBankWrBursts::12 0
-system.physmem.perBankWrBursts::13 0
-system.physmem.perBankWrBursts::14 0
-system.physmem.perBankWrBursts::15 0
-system.physmem.numRdRetry 0
-system.physmem.numWrRetry 0
-system.physmem.totGap 27086500
-system.physmem.readPktSize::0 0
-system.physmem.readPktSize::1 0
-system.physmem.readPktSize::2 0
-system.physmem.readPktSize::3 0
-system.physmem.readPktSize::4 0
-system.physmem.readPktSize::5 0
-system.physmem.readPktSize::6 963
-system.physmem.writePktSize::0 0
-system.physmem.writePktSize::1 0
-system.physmem.writePktSize::2 0
-system.physmem.writePktSize::3 0
-system.physmem.writePktSize::4 0
-system.physmem.writePktSize::5 0
-system.physmem.writePktSize::6 0
-system.physmem.rdQLenPdf::0 329
-system.physmem.rdQLenPdf::1 321
-system.physmem.rdQLenPdf::2 178
-system.physmem.rdQLenPdf::3 95
-system.physmem.rdQLenPdf::4 35
-system.physmem.rdQLenPdf::5 5
-system.physmem.rdQLenPdf::6 0
-system.physmem.rdQLenPdf::7 0
-system.physmem.rdQLenPdf::8 0
-system.physmem.rdQLenPdf::9 0
-system.physmem.rdQLenPdf::10 0
-system.physmem.rdQLenPdf::11 0
-system.physmem.rdQLenPdf::12 0
-system.physmem.rdQLenPdf::13 0
-system.physmem.rdQLenPdf::14 0
-system.physmem.rdQLenPdf::15 0
-system.physmem.rdQLenPdf::16 0
-system.physmem.rdQLenPdf::17 0
-system.physmem.rdQLenPdf::18 0
-system.physmem.rdQLenPdf::19 0
-system.physmem.rdQLenPdf::20 0
-system.physmem.rdQLenPdf::21 0
-system.physmem.rdQLenPdf::22 0
-system.physmem.rdQLenPdf::23 0
-system.physmem.rdQLenPdf::24 0
-system.physmem.rdQLenPdf::25 0
-system.physmem.rdQLenPdf::26 0
-system.physmem.rdQLenPdf::27 0
-system.physmem.rdQLenPdf::28 0
-system.physmem.rdQLenPdf::29 0
-system.physmem.rdQLenPdf::30 0
-system.physmem.rdQLenPdf::31 0
-system.physmem.wrQLenPdf::0 0
-system.physmem.wrQLenPdf::1 0
-system.physmem.wrQLenPdf::2 0
-system.physmem.wrQLenPdf::3 0
-system.physmem.wrQLenPdf::4 0
-system.physmem.wrQLenPdf::5 0
-system.physmem.wrQLenPdf::6 0
-system.physmem.wrQLenPdf::7 0
-system.physmem.wrQLenPdf::8 0
-system.physmem.wrQLenPdf::9 0
-system.physmem.wrQLenPdf::10 0
-system.physmem.wrQLenPdf::11 0
-system.physmem.wrQLenPdf::12 0
-system.physmem.wrQLenPdf::13 0
-system.physmem.wrQLenPdf::14 0
-system.physmem.wrQLenPdf::15 0
-system.physmem.wrQLenPdf::16 0
-system.physmem.wrQLenPdf::17 0
-system.physmem.wrQLenPdf::18 0
-system.physmem.wrQLenPdf::19 0
-system.physmem.wrQLenPdf::20 0
-system.physmem.wrQLenPdf::21 0
-system.physmem.wrQLenPdf::22 0
-system.physmem.wrQLenPdf::23 0
-system.physmem.wrQLenPdf::24 0
-system.physmem.wrQLenPdf::25 0
-system.physmem.wrQLenPdf::26 0
-system.physmem.wrQLenPdf::27 0
-system.physmem.wrQLenPdf::28 0
-system.physmem.wrQLenPdf::29 0
-system.physmem.wrQLenPdf::30 0
-system.physmem.wrQLenPdf::31 0
-system.physmem.wrQLenPdf::32 0
-system.physmem.wrQLenPdf::33 0
-system.physmem.wrQLenPdf::34 0
-system.physmem.wrQLenPdf::35 0
-system.physmem.wrQLenPdf::36 0
-system.physmem.wrQLenPdf::37 0
-system.physmem.wrQLenPdf::38 0
-system.physmem.wrQLenPdf::39 0
-system.physmem.wrQLenPdf::40 0
-system.physmem.wrQLenPdf::41 0
-system.physmem.wrQLenPdf::42 0
-system.physmem.wrQLenPdf::43 0
-system.physmem.wrQLenPdf::44 0
-system.physmem.wrQLenPdf::45 0
-system.physmem.wrQLenPdf::46 0
-system.physmem.wrQLenPdf::47 0
-system.physmem.wrQLenPdf::48 0
-system.physmem.wrQLenPdf::49 0
-system.physmem.wrQLenPdf::50 0
-system.physmem.wrQLenPdf::51 0
-system.physmem.wrQLenPdf::52 0
-system.physmem.wrQLenPdf::53 0
-system.physmem.wrQLenPdf::54 0
-system.physmem.wrQLenPdf::55 0
-system.physmem.wrQLenPdf::56 0
-system.physmem.wrQLenPdf::57 0
-system.physmem.wrQLenPdf::58 0
-system.physmem.wrQLenPdf::59 0
-system.physmem.wrQLenPdf::60 0
-system.physmem.wrQLenPdf::61 0
-system.physmem.wrQLenPdf::62 0
-system.physmem.wrQLenPdf::63 0
-system.physmem.bytesPerActivate::samples 202
-system.physmem.bytesPerActivate::mean 288.316832
-system.physmem.bytesPerActivate::gmean 177.342258
-system.physmem.bytesPerActivate::stdev 298.023303
-system.physmem.bytesPerActivate::0-127 71 35.15% 35.15%
-system.physmem.bytesPerActivate::128-255 55 27.23% 62.38%
-system.physmem.bytesPerActivate::256-383 17 8.42% 70.79%
-system.physmem.bytesPerActivate::384-511 14 6.93% 77.72%
-system.physmem.bytesPerActivate::512-639 12 5.94% 83.66%
-system.physmem.bytesPerActivate::640-767 6 2.97% 86.63%
-system.physmem.bytesPerActivate::768-895 9 4.46% 91.09%
-system.physmem.bytesPerActivate::896-1023 5 2.48% 93.56%
-system.physmem.bytesPerActivate::1024-1151 13 6.44% 100.00%
-system.physmem.bytesPerActivate::total 202
-system.physmem.totQLat 16137750
-system.physmem.totMemAccLat 34194000
-system.physmem.totBusLat 4815000
-system.physmem.avgQLat 16757.79
-system.physmem.avgBusLat 5000.00
-system.physmem.avgMemAccLat 35507.79
-system.physmem.avgRdBW 2272.78
-system.physmem.avgWrBW 0.00
-system.physmem.avgRdBWSys 2272.78
-system.physmem.avgWrBWSys 0.00
-system.physmem.peakBW 12800.00
-system.physmem.busUtil 17.76
-system.physmem.busUtilRead 17.76
-system.physmem.busUtilWrite 0.00
-system.physmem.avgRdQLen 2.46
-system.physmem.avgWrQLen 0.00
-system.physmem.readRowHits 750
-system.physmem.writeRowHits 0
-system.physmem.readRowHitRate 77.88
-system.physmem.writeRowHitRate nan
-system.physmem.avgGap 28127.21
-system.physmem.pageHitRate 77.88
-system.physmem_0.actEnergy 835380
-system.physmem_0.preEnergy 428835
-system.physmem_0.readEnergy 4162620
-system.physmem_0.writeEnergy 0
-system.physmem_0.refreshEnergy 1843920.000000
-system.physmem_0.actBackEnergy 5930850
-system.physmem_0.preBackEnergy 47520
-system.physmem_0.actPowerDownEnergy 6376590
-system.physmem_0.prePowerDownEnergy 1440
-system.physmem_0.selfRefreshEnergy 0
-system.physmem_0.totalEnergy 19627155
-system.physmem_0.averagePower 723.781875
-system.physmem_0.totalIdleTime 13833750
-system.physmem_0.memoryStateTime::IDLE 40500
-system.physmem_0.memoryStateTime::REF 780000
-system.physmem_0.memoryStateTime::SREF 0
-system.physmem_0.memoryStateTime::PRE_PDN 3750
-system.physmem_0.memoryStateTime::ACT 12310750
-system.physmem_0.memoryStateTime::ACT_PDN 13982500
-system.physmem_1.actEnergy 685440
-system.physmem_1.preEnergy 337755
-system.physmem_1.readEnergy 2713200
-system.physmem_1.writeEnergy 0
-system.physmem_1.refreshEnergy 1843920.000000
-system.physmem_1.actBackEnergy 4668300
-system.physmem_1.preBackEnergy 160320
-system.physmem_1.actPowerDownEnergy 7500060
-system.physmem_1.prePowerDownEnergy 5760
-system.physmem_1.selfRefreshEnergy 0
-system.physmem_1.totalEnergy 17914755
-system.physmem_1.averagePower 660.634461
-system.physmem_1.totalIdleTime 16457500
-system.physmem_1.memoryStateTime::IDLE 306000
-system.physmem_1.memoryStateTime::REF 780000
-system.physmem_1.memoryStateTime::SREF 0
-system.physmem_1.memoryStateTime::PRE_PDN 15250
-system.physmem_1.memoryStateTime::ACT 9574000
-system.physmem_1.memoryStateTime::ACT_PDN 16442250
-system.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.branchPred.lookups 5015
-system.cpu.branchPred.condPredicted 3001
-system.cpu.branchPred.condIncorrect 806
-system.cpu.branchPred.BTBLookups 3809
-system.cpu.branchPred.BTBHits 1166
-system.cpu.branchPred.BTBCorrect 0
-system.cpu.branchPred.BTBHitPct 30.611709
-system.cpu.branchPred.usedRAS 698
-system.cpu.branchPred.RASInCorrect 53
-system.cpu.branchPred.indirectLookups 824
-system.cpu.branchPred.indirectHits 156
-system.cpu.branchPred.indirectMisses 668
-system.cpu.branchPredindirectMispredicted 131
-system.cpu_clk_domain.clock 500
-system.cpu.dtb.fetch_hits 0
-system.cpu.dtb.fetch_misses 0
-system.cpu.dtb.fetch_acv 0
-system.cpu.dtb.fetch_accesses 0
-system.cpu.dtb.read_hits 4101
-system.cpu.dtb.read_misses 90
-system.cpu.dtb.read_acv 0
-system.cpu.dtb.read_accesses 4191
-system.cpu.dtb.write_hits 1999
-system.cpu.dtb.write_misses 49
-system.cpu.dtb.write_acv 0
-system.cpu.dtb.write_accesses 2048
-system.cpu.dtb.data_hits 6100
-system.cpu.dtb.data_misses 139
-system.cpu.dtb.data_acv 0
-system.cpu.dtb.data_accesses 6239
-system.cpu.itb.fetch_hits 3896
-system.cpu.itb.fetch_misses 51
-system.cpu.itb.fetch_acv 0
-system.cpu.itb.fetch_accesses 3947
-system.cpu.itb.read_hits 0
-system.cpu.itb.read_misses 0
-system.cpu.itb.read_acv 0
-system.cpu.itb.read_accesses 0
-system.cpu.itb.write_hits 0
-system.cpu.itb.write_misses 0
-system.cpu.itb.write_acv 0
-system.cpu.itb.write_accesses 0
-system.cpu.itb.data_hits 0
-system.cpu.itb.data_misses 0
-system.cpu.itb.data_acv 0
-system.cpu.itb.data_accesses 0
-system.cpu.workload0.numSyscalls 17
-system.cpu.workload1.numSyscalls 17
-system.cpu.pwrStateResidencyTicks::ON 27117500
-system.cpu.numCycles 54236
-system.cpu.numWorkItemsStarted 0
-system.cpu.numWorkItemsCompleted 0
-system.cpu.fetch.icacheStallCycles 769
-system.cpu.fetch.Insts 28725
-system.cpu.fetch.Branches 5015
-system.cpu.fetch.predictedBranches 2020
-system.cpu.fetch.Cycles 9652
-system.cpu.fetch.SquashCycles 886
-system.cpu.fetch.MiscStallCycles 340
-system.cpu.fetch.CacheLines 3896
-system.cpu.fetch.IcacheSquashes 581
-system.cpu.fetch.rateDist::samples 26268
-system.cpu.fetch.rateDist::mean 1.093536
-system.cpu.fetch.rateDist::stdev 2.491751
-system.cpu.fetch.rateDist::underflows 0 0.00% 0.00%
-system.cpu.fetch.rateDist::0 21148 80.51% 80.51%
-system.cpu.fetch.rateDist::1 495 1.88% 82.39%
-system.cpu.fetch.rateDist::2 401 1.53% 83.92%
-system.cpu.fetch.rateDist::3 445 1.69% 85.61%
-system.cpu.fetch.rateDist::4 462 1.76% 87.37%
-system.cpu.fetch.rateDist::5 360 1.37% 88.74%
-system.cpu.fetch.rateDist::6 460 1.75% 90.49%
-system.cpu.fetch.rateDist::7 291 1.11% 91.60%
-system.cpu.fetch.rateDist::8 2206 8.40% 100.00%
-system.cpu.fetch.rateDist::overflows 0 0.00% 100.00%
-system.cpu.fetch.rateDist::min_value 0
-system.cpu.fetch.rateDist::max_value 8
-system.cpu.fetch.rateDist::total 26268
-system.cpu.fetch.branchRate 0.092466
-system.cpu.fetch.rate 0.529630
-system.cpu.decode.IdleCycles 36232
-system.cpu.decode.BlockedCycles 10559
-system.cpu.decode.RunCycles 4004
-system.cpu.decode.UnblockCycles 499
-system.cpu.decode.SquashCycles 740
-system.cpu.decode.BranchResolved 1233
-system.cpu.decode.BranchMispred 150
-system.cpu.decode.DecodedInsts 24986
-system.cpu.decode.SquashedInsts 353
-system.cpu.rename.SquashCycles 740
-system.cpu.rename.IdleCycles 36582
-system.cpu.rename.BlockCycles 3853
-system.cpu.rename.serializeStallCycles 1413
-system.cpu.rename.RunCycles 4167
-system.cpu.rename.UnblockCycles 5279
-system.cpu.rename.RenamedInsts 23947
-system.cpu.rename.ROBFullEvents 27
-system.cpu.rename.IQFullEvents 237
-system.cpu.rename.LQFullEvents 333
-system.cpu.rename.SQFullEvents 4524
-system.cpu.rename.RenamedOperands 17933
-system.cpu.rename.RenameLookups 29997
-system.cpu.rename.int_rename_lookups 29979
-system.cpu.rename.fp_rename_lookups 16
-system.cpu.rename.CommittedMaps 9154
-system.cpu.rename.UndoneMaps 8779
-system.cpu.rename.serializingInsts 57
-system.cpu.rename.tempSerializingInsts 45
-system.cpu.rename.skidInsts 1716
-system.cpu.memDep0.insertedLoads 1914
-system.cpu.memDep0.insertedStores 1068
-system.cpu.memDep0.conflictingLoads 6
-system.cpu.memDep0.conflictingStores 0
-system.cpu.memDep1.insertedLoads 2644
-system.cpu.memDep1.insertedStores 1299
-system.cpu.memDep1.conflictingLoads 14
-system.cpu.memDep1.conflictingStores 4
-system.cpu.iq.iqInstsAdded 22142
-system.cpu.iq.iqNonSpecInstsAdded 52
-system.cpu.iq.iqInstsIssued 19454
-system.cpu.iq.iqSquashedInstsIssued 57
-system.cpu.iq.iqSquashedInstsExamined 9423
-system.cpu.iq.iqSquashedOperandsExamined 4923
-system.cpu.iq.iqSquashedNonSpecRemoved 18
-system.cpu.iq.issued_per_cycle::samples 26268
-system.cpu.iq.issued_per_cycle::mean 0.740597
-system.cpu.iq.issued_per_cycle::stdev 1.454117
-system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00%
-system.cpu.iq.issued_per_cycle::0 18898 71.94% 71.94%
-system.cpu.iq.issued_per_cycle::1 2350 8.95% 80.89%
-system.cpu.iq.issued_per_cycle::2 1639 6.24% 87.13%
-system.cpu.iq.issued_per_cycle::3 1288 4.90% 92.03%
-system.cpu.iq.issued_per_cycle::4 1107 4.21% 96.25%
-system.cpu.iq.issued_per_cycle::5 560 2.13% 98.38%
-system.cpu.iq.issued_per_cycle::6 292 1.11% 99.49%
-system.cpu.iq.issued_per_cycle::7 90 0.34% 99.83%
-system.cpu.iq.issued_per_cycle::8 44 0.17% 100.00%
-system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00%
-system.cpu.iq.issued_per_cycle::min_value 0
-system.cpu.iq.issued_per_cycle::max_value 8
-system.cpu.iq.issued_per_cycle::total 26268
-system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00%
-system.cpu.iq.fu_full::IntAlu 27 9.18% 9.18%
-system.cpu.iq.fu_full::IntMult 0 0.00% 9.18%
-system.cpu.iq.fu_full::IntDiv 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatMult 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatMultAcc 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatMisc 0 0.00% 9.18%
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdMult 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdShift 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 9.18%
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 9.18%
-system.cpu.iq.fu_full::MemRead 190 64.63% 73.81%
-system.cpu.iq.fu_full::MemWrite 74 25.17% 98.98%
-system.cpu.iq.fu_full::FloatMemRead 0 0.00% 98.98%
-system.cpu.iq.fu_full::FloatMemWrite 3 1.02% 100.00%
-system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00%
-system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::No_OpClass 2 0.02% 0.02%
-system.cpu.iq.FU_type_0::IntAlu 5807 66.00% 66.02%
-system.cpu.iq.FU_type_0::IntMult 1 0.01% 66.03%
-system.cpu.iq.FU_type_0::IntDiv 0 0.00% 66.03%
-system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 66.05%
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatMultAcc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatMisc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 66.05%
-system.cpu.iq.FU_type_0::MemRead 1993 22.65% 88.70%
-system.cpu.iq.FU_type_0::MemWrite 986 11.21% 99.91%
-system.cpu.iq.FU_type_0::FloatMemRead 1 0.01% 99.92%
-system.cpu.iq.FU_type_0::FloatMemWrite 7 0.08% 100.00%
-system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00%
-system.cpu.iq.FU_type_0::total 8799
-system.cpu.iq.FU_type_1::No_OpClass 2 0.02% 0.02%
-system.cpu.iq.FU_type_1::IntAlu 7099 66.63% 66.64%
-system.cpu.iq.FU_type_1::IntMult 1 0.01% 66.65%
-system.cpu.iq.FU_type_1::IntDiv 0 0.00% 66.65%
-system.cpu.iq.FU_type_1::FloatAdd 2 0.02% 66.67%
-system.cpu.iq.FU_type_1::FloatCmp 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatCvt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatMult 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatMultAcc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatDiv 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatMisc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::FloatSqrt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdAdd 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdAddAcc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdAlu 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdCmp 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdCvt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdMisc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdMult 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdMultAcc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdShift 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdShiftAcc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdSqrt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatAdd 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatAlu 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatCmp 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatCvt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatDiv 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatMisc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatMult 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatMultAcc 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::SimdFloatSqrt 0 0.00% 66.67%
-system.cpu.iq.FU_type_1::MemRead 2427 22.78% 89.45%
-system.cpu.iq.FU_type_1::MemWrite 1116 10.47% 99.92%
-system.cpu.iq.FU_type_1::FloatMemRead 1 0.01% 99.93%
-system.cpu.iq.FU_type_1::FloatMemWrite 7 0.07% 100.00%
-system.cpu.iq.FU_type_1::IprAccess 0 0.00% 100.00%
-system.cpu.iq.FU_type_1::InstPrefetch 0 0.00% 100.00%
-system.cpu.iq.FU_type_1::total 10655
-system.cpu.iq.FU_type::total 19454 0.00% 0.00%
-system.cpu.iq.rate 0.358692
-system.cpu.iq.fu_busy_cnt::0 148
-system.cpu.iq.fu_busy_cnt::1 146
-system.cpu.iq.fu_busy_cnt::total 294
-system.cpu.iq.fu_busy_rate::0 0.007608
-system.cpu.iq.fu_busy_rate::1 0.007505
-system.cpu.iq.fu_busy_rate::total 0.015113
-system.cpu.iq.int_inst_queue_reads 65484
-system.cpu.iq.int_inst_queue_writes 31629
-system.cpu.iq.int_inst_queue_wakeup_accesses 17691
-system.cpu.iq.fp_inst_queue_reads 43
-system.cpu.iq.fp_inst_queue_writes 20
-system.cpu.iq.fp_inst_queue_wakeup_accesses 20
-system.cpu.iq.int_alu_accesses 19721
-system.cpu.iq.fp_alu_accesses 23
-system.cpu.iew.lsq.thread0.forwLoads 41
-system.cpu.iew.lsq.thread0.invAddrLoads 0
-system.cpu.iew.lsq.thread0.squashedLoads 729
-system.cpu.iew.lsq.thread0.ignoredResponses 0
-system.cpu.iew.lsq.thread0.memOrderViolation 12
-system.cpu.iew.lsq.thread0.squashedStores 203
-system.cpu.iew.lsq.thread0.invAddrSwpfs 0
-system.cpu.iew.lsq.thread0.blockedLoads 0
-system.cpu.iew.lsq.thread0.rescheduledLoads 1
-system.cpu.iew.lsq.thread0.cacheBlocked 273
-system.cpu.iew.lsq.thread1.forwLoads 90
-system.cpu.iew.lsq.thread1.invAddrLoads 0
-system.cpu.iew.lsq.thread1.squashedLoads 1459
-system.cpu.iew.lsq.thread1.ignoredResponses 9
-system.cpu.iew.lsq.thread1.memOrderViolation 21
-system.cpu.iew.lsq.thread1.squashedStores 434
-system.cpu.iew.lsq.thread1.invAddrSwpfs 0
-system.cpu.iew.lsq.thread1.blockedLoads 0
-system.cpu.iew.lsq.thread1.rescheduledLoads 1
-system.cpu.iew.lsq.thread1.cacheBlocked 221
-system.cpu.iew.iewIdleCycles 0
-system.cpu.iew.iewSquashCycles 740
-system.cpu.iew.iewBlockCycles 2317
-system.cpu.iew.iewUnblockCycles 426
-system.cpu.iew.iewDispatchedInsts 22329
-system.cpu.iew.iewDispSquashedInsts 159
-system.cpu.iew.iewDispLoadInsts 4558
-system.cpu.iew.iewDispStoreInsts 2367
-system.cpu.iew.iewDispNonSpecInsts 52
-system.cpu.iew.iewIQFullEvents 21
-system.cpu.iew.iewLSQFullEvents 403
-system.cpu.iew.memOrderViolationEvents 33
-system.cpu.iew.predictedTakenIncorrect 142
-system.cpu.iew.predictedNotTakenIncorrect 645
-system.cpu.iew.branchMispredicts 787
-system.cpu.iew.iewExecutedInsts 18732
-system.cpu.iew.iewExecLoadInsts::0 1919
-system.cpu.iew.iewExecLoadInsts::1 2279
-system.cpu.iew.iewExecLoadInsts::total 4198
-system.cpu.iew.iewExecSquashedInsts 722
-system.cpu.iew.exec_swp::0 0
-system.cpu.iew.exec_swp::1 0
-system.cpu.iew.exec_swp::total 0
-system.cpu.iew.exec_nop::0 63
-system.cpu.iew.exec_nop::1 72
-system.cpu.iew.exec_nop::total 135
-system.cpu.iew.exec_refs::0 2905
-system.cpu.iew.exec_refs::1 3353
-system.cpu.iew.exec_refs::total 6258
-system.cpu.iew.exec_branches::0 1375
-system.cpu.iew.exec_branches::1 1612
-system.cpu.iew.exec_branches::total 2987
-system.cpu.iew.exec_stores::0 986
-system.cpu.iew.exec_stores::1 1074
-system.cpu.iew.exec_stores::total 2060
-system.cpu.iew.exec_rate 0.345379
-system.cpu.iew.wb_sent::0 8229
-system.cpu.iew.wb_sent::1 9751
-system.cpu.iew.wb_sent::total 17980
-system.cpu.iew.wb_count::0 8135
-system.cpu.iew.wb_count::1 9576
-system.cpu.iew.wb_count::total 17711
-system.cpu.iew.wb_producers::0 4316
-system.cpu.iew.wb_producers::1 5060
-system.cpu.iew.wb_producers::total 9376
-system.cpu.iew.wb_consumers::0 5785
-system.cpu.iew.wb_consumers::1 6812
-system.cpu.iew.wb_consumers::total 12597
-system.cpu.iew.wb_rate::0 0.149993
-system.cpu.iew.wb_rate::1 0.176562
-system.cpu.iew.wb_rate::total 0.326554
-system.cpu.iew.wb_fanout::0 0.746067
-system.cpu.iew.wb_fanout::1 0.742807
-system.cpu.iew.wb_fanout::total 0.744304
-system.cpu.commit.commitSquashedInsts 9512
-system.cpu.commit.commitNonSpecStalls 34
-system.cpu.commit.branchMispredicts 661
-system.cpu.commit.committed_per_cycle::samples 26245
-system.cpu.commit.committed_per_cycle::mean 0.487864
-system.cpu.commit.committed_per_cycle::stdev 1.400805
-system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00%
-system.cpu.commit.committed_per_cycle::0 21282 81.09% 81.09%
-system.cpu.commit.committed_per_cycle::1 2428 9.25% 90.34%
-system.cpu.commit.committed_per_cycle::2 980 3.73% 94.08%
-system.cpu.commit.committed_per_cycle::3 381 1.45% 95.53%
-system.cpu.commit.committed_per_cycle::4 266 1.01% 96.54%
-system.cpu.commit.committed_per_cycle::5 163 0.62% 97.16%
-system.cpu.commit.committed_per_cycle::6 223 0.85% 98.01%
-system.cpu.commit.committed_per_cycle::7 120 0.46% 98.47%
-system.cpu.commit.committed_per_cycle::8 402 1.53% 100.00%
-system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00%
-system.cpu.commit.committed_per_cycle::min_value 0
-system.cpu.commit.committed_per_cycle::max_value 8
-system.cpu.commit.committed_per_cycle::total 26245
-system.cpu.commit.committedInsts::0 6402
-system.cpu.commit.committedInsts::1 6402
-system.cpu.commit.committedInsts::total 12804
-system.cpu.commit.committedOps::0 6402
-system.cpu.commit.committedOps::1 6402
-system.cpu.commit.committedOps::total 12804
-system.cpu.commit.swp_count::0 0
-system.cpu.commit.swp_count::1 0
-system.cpu.commit.swp_count::total 0
-system.cpu.commit.refs::0 2050
-system.cpu.commit.refs::1 2050
-system.cpu.commit.refs::total 4100
-system.cpu.commit.loads::0 1185
-system.cpu.commit.loads::1 1185
-system.cpu.commit.loads::total 2370
-system.cpu.commit.membars::0 0
-system.cpu.commit.membars::1 0
-system.cpu.commit.membars::total 0
-system.cpu.commit.branches::0 1056
-system.cpu.commit.branches::1 1056
-system.cpu.commit.branches::total 2112
-system.cpu.commit.fp_insts::0 10
-system.cpu.commit.fp_insts::1 10
-system.cpu.commit.fp_insts::total 20
-system.cpu.commit.int_insts::0 6319
-system.cpu.commit.int_insts::1 6319
-system.cpu.commit.int_insts::total 12638
-system.cpu.commit.function_calls::0 127
-system.cpu.commit.function_calls::1 127
-system.cpu.commit.function_calls::total 254
-system.cpu.commit.op_class_0::No_OpClass 19 0.30% 0.30%
-system.cpu.commit.op_class_0::IntAlu 4330 67.64% 67.93%
-system.cpu.commit.op_class_0::IntMult 1 0.02% 67.95%
-system.cpu.commit.op_class_0::IntDiv 0 0.00% 67.95%
-system.cpu.commit.op_class_0::FloatAdd 2 0.03% 67.98%
-system.cpu.commit.op_class_0::FloatCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatMult 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatDiv 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::FloatSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdAdd 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdAddAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdAlu 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdMult 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdShift 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdShiftAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatAdd 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatAlu 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatDiv 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatMult 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_0::SimdFloatSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_0::MemRead 1184 18.49% 86.47%
-system.cpu.commit.op_class_0::MemWrite 858 13.40% 99.88%
-system.cpu.commit.op_class_0::FloatMemRead 1 0.02% 99.89%
-system.cpu.commit.op_class_0::FloatMemWrite 7 0.11% 100.00%
-system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00%
-system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00%
-system.cpu.commit.op_class_0::total 6402
-system.cpu.commit.op_class_1::No_OpClass 19 0.30% 0.30%
-system.cpu.commit.op_class_1::IntAlu 4330 67.64% 67.93%
-system.cpu.commit.op_class_1::IntMult 1 0.02% 67.95%
-system.cpu.commit.op_class_1::IntDiv 0 0.00% 67.95%
-system.cpu.commit.op_class_1::FloatAdd 2 0.03% 67.98%
-system.cpu.commit.op_class_1::FloatCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatMult 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatDiv 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::FloatSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdAdd 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdAddAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdAlu 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdMult 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdShift 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdShiftAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatAdd 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatAlu 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatCmp 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatCvt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatDiv 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatMisc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatMult 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatMultAcc 0 0.00% 67.98%
-system.cpu.commit.op_class_1::SimdFloatSqrt 0 0.00% 67.98%
-system.cpu.commit.op_class_1::MemRead 1184 18.49% 86.47%
-system.cpu.commit.op_class_1::MemWrite 858 13.40% 99.88%
-system.cpu.commit.op_class_1::FloatMemRead 1 0.02% 99.89%
-system.cpu.commit.op_class_1::FloatMemWrite 7 0.11% 100.00%
-system.cpu.commit.op_class_1::IprAccess 0 0.00% 100.00%
-system.cpu.commit.op_class_1::InstPrefetch 0 0.00% 100.00%
-system.cpu.commit.op_class_1::total 6402
-system.cpu.commit.op_class::total 12804 0.00% 0.00%
-system.cpu.commit.bw_lim_events 402
-system.cpu.rob.rob_reads 114640
-system.cpu.rob.rob_writes 46397
-system.cpu.timesIdled 397
-system.cpu.idleCycles 27968
-system.cpu.committedInsts::0 6385
-system.cpu.committedInsts::1 6385
-system.cpu.committedInsts::total 12770
-system.cpu.committedOps::0 6385
-system.cpu.committedOps::1 6385
-system.cpu.committedOps::total 12770
-system.cpu.cpi::0 8.494283
-system.cpu.cpi::1 8.494283
-system.cpu.cpi_total 4.247142
-system.cpu.ipc::0 0.117726
-system.cpu.ipc::1 0.117726
-system.cpu.ipc_total 0.235452
-system.cpu.int_regfile_reads 23899
-system.cpu.int_regfile_writes 13306
-system.cpu.fp_regfile_reads 16
-system.cpu.fp_regfile_writes 4
-system.cpu.misc_regfile_reads 2
-system.cpu.misc_regfile_writes 2
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.dcache.tags.replacements::0 0
-system.cpu.dcache.tags.replacements::1 0
-system.cpu.dcache.tags.replacements::total 0
-system.cpu.dcache.tags.tagsinuse 217.668632
-system.cpu.dcache.tags.total_refs 4250
-system.cpu.dcache.tags.sampled_refs 342
-system.cpu.dcache.tags.avg_refs 12.426901
-system.cpu.dcache.tags.warmup_cycle 0
-system.cpu.dcache.tags.occ_blocks::cpu.data 217.668632
-system.cpu.dcache.tags.occ_percent::cpu.data 0.053142
-system.cpu.dcache.tags.occ_percent::total 0.053142
-system.cpu.dcache.tags.occ_task_id_blocks::1024 342
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 67
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 275
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.083496
-system.cpu.dcache.tags.tag_accesses 10882
-system.cpu.dcache.tags.data_accesses 10882
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.dcache.ReadReq_hits::cpu.data 3235
-system.cpu.dcache.ReadReq_hits::total 3235
-system.cpu.dcache.WriteReq_hits::cpu.data 1015
-system.cpu.dcache.WriteReq_hits::total 1015
-system.cpu.dcache.demand_hits::cpu.data 4250
-system.cpu.dcache.demand_hits::total 4250
-system.cpu.dcache.overall_hits::cpu.data 4250
-system.cpu.dcache.overall_hits::total 4250
-system.cpu.dcache.ReadReq_misses::cpu.data 305
-system.cpu.dcache.ReadReq_misses::total 305
-system.cpu.dcache.WriteReq_misses::cpu.data 715
-system.cpu.dcache.WriteReq_misses::total 715
-system.cpu.dcache.demand_misses::cpu.data 1020
-system.cpu.dcache.demand_misses::total 1020
-system.cpu.dcache.overall_misses::cpu.data 1020
-system.cpu.dcache.overall_misses::total 1020
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 24356500
-system.cpu.dcache.ReadReq_miss_latency::total 24356500
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 50960445
-system.cpu.dcache.WriteReq_miss_latency::total 50960445
-system.cpu.dcache.demand_miss_latency::cpu.data 75316945
-system.cpu.dcache.demand_miss_latency::total 75316945
-system.cpu.dcache.overall_miss_latency::cpu.data 75316945
-system.cpu.dcache.overall_miss_latency::total 75316945
-system.cpu.dcache.ReadReq_accesses::cpu.data 3540
-system.cpu.dcache.ReadReq_accesses::total 3540
-system.cpu.dcache.WriteReq_accesses::cpu.data 1730
-system.cpu.dcache.WriteReq_accesses::total 1730
-system.cpu.dcache.demand_accesses::cpu.data 5270
-system.cpu.dcache.demand_accesses::total 5270
-system.cpu.dcache.overall_accesses::cpu.data 5270
-system.cpu.dcache.overall_accesses::total 5270
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.086158
-system.cpu.dcache.ReadReq_miss_rate::total 0.086158
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.413295
-system.cpu.dcache.WriteReq_miss_rate::total 0.413295
-system.cpu.dcache.demand_miss_rate::cpu.data 0.193548
-system.cpu.dcache.demand_miss_rate::total 0.193548
-system.cpu.dcache.overall_miss_rate::cpu.data 0.193548
-system.cpu.dcache.overall_miss_rate::total 0.193548
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 79857.377049
-system.cpu.dcache.ReadReq_avg_miss_latency::total 79857.377049
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 71273.349650
-system.cpu.dcache.WriteReq_avg_miss_latency::total 71273.349650
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 73840.142157
-system.cpu.dcache.demand_avg_miss_latency::total 73840.142157
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 73840.142157
-system.cpu.dcache.overall_avg_miss_latency::total 73840.142157
-system.cpu.dcache.blocked_cycles::no_mshrs 5867
-system.cpu.dcache.blocked_cycles::no_targets 0
-system.cpu.dcache.blocked::no_mshrs 115
-system.cpu.dcache.blocked::no_targets 0
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 51.017391
-system.cpu.dcache.avg_blocked_cycles::no_targets nan
-system.cpu.dcache.ReadReq_mshr_hits::cpu.data 107
-system.cpu.dcache.ReadReq_mshr_hits::total 107
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 570
-system.cpu.dcache.WriteReq_mshr_hits::total 570
-system.cpu.dcache.demand_mshr_hits::cpu.data 677
-system.cpu.dcache.demand_mshr_hits::total 677
-system.cpu.dcache.overall_mshr_hits::cpu.data 677
-system.cpu.dcache.overall_mshr_hits::total 677
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 198
-system.cpu.dcache.ReadReq_mshr_misses::total 198
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 145
-system.cpu.dcache.WriteReq_mshr_misses::total 145
-system.cpu.dcache.demand_mshr_misses::cpu.data 343
-system.cpu.dcache.demand_mshr_misses::total 343
-system.cpu.dcache.overall_mshr_misses::cpu.data 343
-system.cpu.dcache.overall_mshr_misses::total 343
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 18395500
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 18395500
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 12405489
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 12405489
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 30800989
-system.cpu.dcache.demand_mshr_miss_latency::total 30800989
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 30800989
-system.cpu.dcache.overall_mshr_miss_latency::total 30800989
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.055932
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.055932
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.083815
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.083815
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.065085
-system.cpu.dcache.demand_mshr_miss_rate::total 0.065085
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.065085
-system.cpu.dcache.overall_mshr_miss_rate::total 0.065085
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 92906.565657
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 92906.565657
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 85555.096552
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 85555.096552
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 89798.801749
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 89798.801749
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 89798.801749
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 89798.801749
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.icache.tags.replacements::0 7
-system.cpu.icache.tags.replacements::1 0
-system.cpu.icache.tags.replacements::total 7
-system.cpu.icache.tags.tagsinuse 317.013453
-system.cpu.icache.tags.total_refs 2987
-system.cpu.icache.tags.sampled_refs 623
-system.cpu.icache.tags.avg_refs 4.794543
-system.cpu.icache.tags.warmup_cycle 0
-system.cpu.icache.tags.occ_blocks::cpu.inst 317.013453
-system.cpu.icache.tags.occ_percent::cpu.inst 0.154792
-system.cpu.icache.tags.occ_percent::total 0.154792
-system.cpu.icache.tags.occ_task_id_blocks::1024 616
-system.cpu.icache.tags.age_task_id_blocks_1024::0 227
-system.cpu.icache.tags.age_task_id_blocks_1024::1 389
-system.cpu.icache.tags.occ_task_id_percent::1024 0.300781
-system.cpu.icache.tags.tag_accesses 8411
-system.cpu.icache.tags.data_accesses 8411
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.icache.ReadReq_hits::cpu.inst 2987
-system.cpu.icache.ReadReq_hits::total 2987
-system.cpu.icache.demand_hits::cpu.inst 2987
-system.cpu.icache.demand_hits::total 2987
-system.cpu.icache.overall_hits::cpu.inst 2987
-system.cpu.icache.overall_hits::total 2987
-system.cpu.icache.ReadReq_misses::cpu.inst 907
-system.cpu.icache.ReadReq_misses::total 907
-system.cpu.icache.demand_misses::cpu.inst 907
-system.cpu.icache.demand_misses::total 907
-system.cpu.icache.overall_misses::cpu.inst 907
-system.cpu.icache.overall_misses::total 907
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 73557995
-system.cpu.icache.ReadReq_miss_latency::total 73557995
-system.cpu.icache.demand_miss_latency::cpu.inst 73557995
-system.cpu.icache.demand_miss_latency::total 73557995
-system.cpu.icache.overall_miss_latency::cpu.inst 73557995
-system.cpu.icache.overall_miss_latency::total 73557995
-system.cpu.icache.ReadReq_accesses::cpu.inst 3894
-system.cpu.icache.ReadReq_accesses::total 3894
-system.cpu.icache.demand_accesses::cpu.inst 3894
-system.cpu.icache.demand_accesses::total 3894
-system.cpu.icache.overall_accesses::cpu.inst 3894
-system.cpu.icache.overall_accesses::total 3894
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.232922
-system.cpu.icache.ReadReq_miss_rate::total 0.232922
-system.cpu.icache.demand_miss_rate::cpu.inst 0.232922
-system.cpu.icache.demand_miss_rate::total 0.232922
-system.cpu.icache.overall_miss_rate::cpu.inst 0.232922
-system.cpu.icache.overall_miss_rate::total 0.232922
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 81100.325248
-system.cpu.icache.ReadReq_avg_miss_latency::total 81100.325248
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 81100.325248
-system.cpu.icache.demand_avg_miss_latency::total 81100.325248
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 81100.325248
-system.cpu.icache.overall_avg_miss_latency::total 81100.325248
-system.cpu.icache.blocked_cycles::no_mshrs 3028
-system.cpu.icache.blocked_cycles::no_targets 0
-system.cpu.icache.blocked::no_mshrs 53
-system.cpu.icache.blocked::no_targets 0
-system.cpu.icache.avg_blocked_cycles::no_mshrs 57.132075
-system.cpu.icache.avg_blocked_cycles::no_targets nan
-system.cpu.icache.writebacks::writebacks 7
-system.cpu.icache.writebacks::total 7
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 284
-system.cpu.icache.ReadReq_mshr_hits::total 284
-system.cpu.icache.demand_mshr_hits::cpu.inst 284
-system.cpu.icache.demand_mshr_hits::total 284
-system.cpu.icache.overall_mshr_hits::cpu.inst 284
-system.cpu.icache.overall_mshr_hits::total 284
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 623
-system.cpu.icache.ReadReq_mshr_misses::total 623
-system.cpu.icache.demand_mshr_misses::cpu.inst 623
-system.cpu.icache.demand_mshr_misses::total 623
-system.cpu.icache.overall_mshr_misses::cpu.inst 623
-system.cpu.icache.overall_mshr_misses::total 623
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 54126496
-system.cpu.icache.ReadReq_mshr_miss_latency::total 54126496
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 54126496
-system.cpu.icache.demand_mshr_miss_latency::total 54126496
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 54126496
-system.cpu.icache.overall_mshr_miss_latency::total 54126496
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.159990
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.159990
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.159990
-system.cpu.icache.demand_mshr_miss_rate::total 0.159990
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.159990
-system.cpu.icache.overall_mshr_miss_rate::total 0.159990
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 86880.410915
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 86880.410915
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 86880.410915
-system.cpu.icache.demand_avg_mshr_miss_latency::total 86880.410915
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 86880.410915
-system.cpu.icache.overall_avg_mshr_miss_latency::total 86880.410915
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.l2cache.tags.replacements::0 0
-system.cpu.l2cache.tags.replacements::1 0
-system.cpu.l2cache.tags.replacements::total 0
-system.cpu.l2cache.tags.tagsinuse 535.282693
-system.cpu.l2cache.tags.total_refs 10
-system.cpu.l2cache.tags.sampled_refs 962
-system.cpu.l2cache.tags.avg_refs 0.010395
-system.cpu.l2cache.tags.warmup_cycle 0
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 317.481637
-system.cpu.l2cache.tags.occ_blocks::cpu.data 217.801056
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.009689
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.006647
-system.cpu.l2cache.tags.occ_percent::total 0.016336
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 962
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 291
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 671
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.029358
-system.cpu.l2cache.tags.tag_accesses 8746
-system.cpu.l2cache.tags.data_accesses 8746
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.l2cache.WritebackClean_hits::writebacks 7
-system.cpu.l2cache.WritebackClean_hits::total 7
-system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 3
-system.cpu.l2cache.ReadCleanReq_hits::total 3
-system.cpu.l2cache.demand_hits::cpu.inst 3
-system.cpu.l2cache.demand_hits::total 3
-system.cpu.l2cache.overall_hits::cpu.inst 3
-system.cpu.l2cache.overall_hits::total 3
-system.cpu.l2cache.ReadExReq_misses::cpu.data 146
-system.cpu.l2cache.ReadExReq_misses::total 146
-system.cpu.l2cache.ReadCleanReq_misses::cpu.inst 620
-system.cpu.l2cache.ReadCleanReq_misses::total 620
-system.cpu.l2cache.ReadSharedReq_misses::cpu.data 197
-system.cpu.l2cache.ReadSharedReq_misses::total 197
-system.cpu.l2cache.demand_misses::cpu.inst 620
-system.cpu.l2cache.demand_misses::cpu.data 343
-system.cpu.l2cache.demand_misses::total 963
-system.cpu.l2cache.overall_misses::cpu.inst 620
-system.cpu.l2cache.overall_misses::cpu.data 343
-system.cpu.l2cache.overall_misses::total 963
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 12255000
-system.cpu.l2cache.ReadExReq_miss_latency::total 12255000
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 53153500
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 53153500
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 18016500
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 18016500
-system.cpu.l2cache.demand_miss_latency::cpu.inst 53153500
-system.cpu.l2cache.demand_miss_latency::cpu.data 30271500
-system.cpu.l2cache.demand_miss_latency::total 83425000
-system.cpu.l2cache.overall_miss_latency::cpu.inst 53153500
-system.cpu.l2cache.overall_miss_latency::cpu.data 30271500
-system.cpu.l2cache.overall_miss_latency::total 83425000
-system.cpu.l2cache.WritebackClean_accesses::writebacks 7
-system.cpu.l2cache.WritebackClean_accesses::total 7
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 146
-system.cpu.l2cache.ReadExReq_accesses::total 146
-system.cpu.l2cache.ReadCleanReq_accesses::cpu.inst 623
-system.cpu.l2cache.ReadCleanReq_accesses::total 623
-system.cpu.l2cache.ReadSharedReq_accesses::cpu.data 197
-system.cpu.l2cache.ReadSharedReq_accesses::total 197
-system.cpu.l2cache.demand_accesses::cpu.inst 623
-system.cpu.l2cache.demand_accesses::cpu.data 343
-system.cpu.l2cache.demand_accesses::total 966
-system.cpu.l2cache.overall_accesses::cpu.inst 623
-system.cpu.l2cache.overall_accesses::cpu.data 343
-system.cpu.l2cache.overall_accesses::total 966
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.ReadCleanReq_miss_rate::total 0.995185
-system.cpu.l2cache.ReadSharedReq_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_miss_rate::total 1
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.demand_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_miss_rate::total 0.996894
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.overall_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_miss_rate::total 0.996894
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 83938.356164
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 83938.356164
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 85731.451613
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 85731.451613
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 91454.314721
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 91454.314721
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 85731.451613
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 88255.102041
-system.cpu.l2cache.demand_avg_miss_latency::total 86630.321911
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 85731.451613
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 88255.102041
-system.cpu.l2cache.overall_avg_miss_latency::total 86630.321911
-system.cpu.l2cache.blocked_cycles::no_mshrs 0
-system.cpu.l2cache.blocked_cycles::no_targets 0
-system.cpu.l2cache.blocked::no_mshrs 0
-system.cpu.l2cache.blocked::no_targets 0
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
-system.cpu.l2cache.avg_blocked_cycles::no_targets nan
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 146
-system.cpu.l2cache.ReadExReq_mshr_misses::total 146
-system.cpu.l2cache.ReadCleanReq_mshr_misses::cpu.inst 620
-system.cpu.l2cache.ReadCleanReq_mshr_misses::total 620
-system.cpu.l2cache.ReadSharedReq_mshr_misses::cpu.data 197
-system.cpu.l2cache.ReadSharedReq_mshr_misses::total 197
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 620
-system.cpu.l2cache.demand_mshr_misses::cpu.data 343
-system.cpu.l2cache.demand_mshr_misses::total 963
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 620
-system.cpu.l2cache.overall_mshr_misses::cpu.data 343
-system.cpu.l2cache.overall_mshr_misses::total 963
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 10795000
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 10795000
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 46953500
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 46953500
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 16056500
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 16056500
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 46953500
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 26851500
-system.cpu.l2cache.demand_mshr_miss_latency::total 73805000
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 46953500
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 26851500
-system.cpu.l2cache.overall_mshr_miss_latency::total 73805000
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::total 0.995185
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.ReadSharedReq_mshr_miss_rate::total 1
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.996894
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.995185
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.996894
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 73938.356164
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 73938.356164
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 75731.451613
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 75731.451613
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 81505.076142
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 81505.076142
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 75731.451613
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 78284.256560
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 76640.706127
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 75731.451613
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 78284.256560
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 76640.706127
-system.cpu.toL2Bus.snoop_filter.tot_requests 973
-system.cpu.toL2Bus.snoop_filter.hit_single_requests 9
-system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0
-system.cpu.toL2Bus.snoop_filter.tot_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0
-system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 27117500
-system.cpu.toL2Bus.trans_dist::ReadResp 819
-system.cpu.toL2Bus.trans_dist::WritebackClean 7
-system.cpu.toL2Bus.trans_dist::ReadExReq 146
-system.cpu.toL2Bus.trans_dist::ReadExResp 146
-system.cpu.toL2Bus.trans_dist::ReadCleanReq 623
-system.cpu.toL2Bus.trans_dist::ReadSharedReq 197
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1253
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 685
-system.cpu.toL2Bus.pkt_count::total 1938
-system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 40320
-system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 21888
-system.cpu.toL2Bus.pkt_size::total 62208
-system.cpu.toL2Bus.snoops 0
-system.cpu.toL2Bus.snoopTraffic 0
-system.cpu.toL2Bus.snoop_fanout::samples 966
-system.cpu.toL2Bus.snoop_fanout::mean 0.002070
-system.cpu.toL2Bus.snoop_fanout::stdev 0.045478
-system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00%
-system.cpu.toL2Bus.snoop_fanout::0 964 99.79% 99.79%
-system.cpu.toL2Bus.snoop_fanout::1 2 0.21% 100.00%
-system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00%
-system.cpu.toL2Bus.snoop_fanout::min_value 0
-system.cpu.toL2Bus.snoop_fanout::max_value 1
-system.cpu.toL2Bus.snoop_fanout::total 966
-system.cpu.toL2Bus.reqLayer0.occupancy 493500
-system.cpu.toL2Bus.reqLayer0.utilization 1.8
-system.cpu.toL2Bus.respLayer0.occupancy 934500
-system.cpu.toL2Bus.respLayer0.utilization 3.4
-system.cpu.toL2Bus.respLayer1.occupancy 513000
-system.cpu.toL2Bus.respLayer1.utilization 1.9
-system.membus.snoop_filter.tot_requests 963
-system.membus.snoop_filter.hit_single_requests 0
-system.membus.snoop_filter.hit_multi_requests 0
-system.membus.snoop_filter.tot_snoops 0
-system.membus.snoop_filter.hit_single_snoops 0
-system.membus.snoop_filter.hit_multi_snoops 0
-system.membus.pwrStateResidencyTicks::UNDEFINED 27117500
-system.membus.trans_dist::ReadResp 816
-system.membus.trans_dist::ReadExReq 146
-system.membus.trans_dist::ReadExResp 146
-system.membus.trans_dist::ReadSharedReq 817
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1925
-system.membus.pkt_count::total 1925
-system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 61568
-system.membus.pkt_size::total 61568
-system.membus.snoops 0
-system.membus.snoopTraffic 0
-system.membus.snoop_fanout::samples 963
-system.membus.snoop_fanout::mean 0
-system.membus.snoop_fanout::stdev 0
-system.membus.snoop_fanout::underflows 0 0.00% 0.00%
-system.membus.snoop_fanout::0 963 100.00% 100.00%
-system.membus.snoop_fanout::1 0 0.00% 100.00%
-system.membus.snoop_fanout::overflows 0 0.00% 100.00%
-system.membus.snoop_fanout::min_value 0
-system.membus.snoop_fanout::max_value 0
-system.membus.snoop_fanout::total 963
-system.membus.reqLayer0.occupancy 1169500
-system.membus.reqLayer0.utilization 4.3
-system.membus.respLayer1.occupancy 5110750
-system.membus.respLayer1.utilization 18.8
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/config.ini b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/config.ini
deleted file mode 100644
index 1efeb5f99..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/config.ini
+++ /dev/null
@@ -1,265 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu dvfs_handler mem_ctrl membus
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=0:536870911:0:0:0:0
-memories=system.mem_ctrl
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[2]
-
-[system.clk_domain]
-type=SrcClockDomain
-children=voltage_domain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.clk_domain.voltage_domain
-
-[system.clk_domain.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
-[system.cpu]
-type=TimingSimpleCPU
-children=dtb interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.clk_domain
-cpu_id=-1
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.membus.slave[1]
-icache_port=system.membus.slave[0]
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=tests/test-progs/hello/bin/alpha/linux/hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.mem_ctrl]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:536870911:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.mem_ctrl.port
-slave=system.cpu.icache_port system.cpu.dcache_port system.system_port
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simerr b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simerr
deleted file mode 100755
index 2f9507495..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (512 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simout b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simout
deleted file mode 100755
index 4e8f563cb..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/simout
+++ /dev/null
@@ -1,16 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Oct 11 2016 00:00:58
-gem5 started Oct 13 2016 20:19:47
-gem5 executing on e108600-lin, pid 28091
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple
-
-Global frequency set at 1000000000000 ticks per second
-Beginning simulation!
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 461109000 because target called exit()
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/stats.txt b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/stats.txt
deleted file mode 100644
index e73b49ac6..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-simple/stats.txt
+++ /dev/null
@@ -1,417 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000461 # Number of seconds simulated
-sim_ticks 461109000 # Number of ticks simulated
-final_tick 461109000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 260049 # Simulator instruction rate (inst/s)
-host_op_rate 259797 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 18548021181 # Simulator tick rate (ticks/s)
-host_mem_usage 634440 # Number of bytes of host memory used
-host_seconds 0.03 # Real time elapsed on the host
-sim_insts 6453 # Number of instructions simulated
-sim_ops 6453 # Number of ops (including micro ops) simulated
-system.clk_domain.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.mem_ctrl.pwrStateResidencyTicks::UNDEFINED 461109000 # Cumulative time (in ticks) in various power states
-system.mem_ctrl.bytes_read::cpu.inst 25852 # Number of bytes read from this memory
-system.mem_ctrl.bytes_read::cpu.data 8844 # Number of bytes read from this memory
-system.mem_ctrl.bytes_read::total 34696 # Number of bytes read from this memory
-system.mem_ctrl.bytes_inst_read::cpu.inst 25852 # Number of instructions bytes read from this memory
-system.mem_ctrl.bytes_inst_read::total 25852 # Number of instructions bytes read from this memory
-system.mem_ctrl.bytes_written::cpu.data 6696 # Number of bytes written to this memory
-system.mem_ctrl.bytes_written::total 6696 # Number of bytes written to this memory
-system.mem_ctrl.num_reads::cpu.inst 6463 # Number of read requests responded to by this memory
-system.mem_ctrl.num_reads::cpu.data 1190 # Number of read requests responded to by this memory
-system.mem_ctrl.num_reads::total 7653 # Number of read requests responded to by this memory
-system.mem_ctrl.num_writes::cpu.data 865 # Number of write requests responded to by this memory
-system.mem_ctrl.num_writes::total 865 # Number of write requests responded to by this memory
-system.mem_ctrl.bw_read::cpu.inst 56064835 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_read::cpu.data 19179847 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_read::total 75244682 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_inst_read::cpu.inst 56064835 # Instruction read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_inst_read::total 56064835 # Instruction read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_write::cpu.data 14521512 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_write::total 14521512 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_total::cpu.inst 56064835 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.bw_total::cpu.data 33701359 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.bw_total::total 89766194 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.readReqs 7654 # Number of read requests accepted
-system.mem_ctrl.writeReqs 865 # Number of write requests accepted
-system.mem_ctrl.readBursts 7654 # Number of DRAM read bursts, including those serviced by the write queue
-system.mem_ctrl.writeBursts 865 # Number of DRAM write bursts, including those merged in the write queue
-system.mem_ctrl.bytesReadDRAM 477504 # Total number of bytes read from DRAM
-system.mem_ctrl.bytesReadWrQ 12352 # Total number of bytes read from write queue
-system.mem_ctrl.bytesWritten 6144 # Total number of bytes written to DRAM
-system.mem_ctrl.bytesReadSys 34700 # Total read bytes from the system interface side
-system.mem_ctrl.bytesWrittenSys 6696 # Total written bytes from the system interface side
-system.mem_ctrl.servicedByWrQ 193 # Number of DRAM read bursts serviced by the write queue
-system.mem_ctrl.mergedWrBursts 752 # Number of DRAM write bursts merged with an existing one
-system.mem_ctrl.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.mem_ctrl.perBankRdBursts::0 1736 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::1 393 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::2 768 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::3 800 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::4 764 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::5 293 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::6 6 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::7 26 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::8 0 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::9 1 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::10 249 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::11 578 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::12 167 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::13 1431 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::14 91 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::15 158 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::0 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::1 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::2 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::3 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::4 18 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::5 7 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::6 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::7 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::8 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::9 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::10 7 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::11 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::12 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::13 20 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::14 44 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::15 0 # Per bank write bursts
-system.mem_ctrl.numRdRetry 0 # Number of times read queue was full causing retry
-system.mem_ctrl.numWrRetry 0 # Number of times write queue was full causing retry
-system.mem_ctrl.totGap 461032000 # Total gap between requests
-system.mem_ctrl.readPktSize::0 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::1 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::2 6633 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::3 1021 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::4 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::5 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::6 0 # Read request sizes (log2)
-system.mem_ctrl.writePktSize::0 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::1 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::2 56 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::3 809 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::4 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::5 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::6 0 # Write request sizes (log2)
-system.mem_ctrl.rdQLenPdf::0 7461 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::1 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::2 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::3 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::4 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::15 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::16 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::17 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::28 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::29 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::30 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::31 0 # What read queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::0 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::1 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::2 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::3 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::4 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::5 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::6 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::7 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::8 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::9 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::10 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::11 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::12 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::13 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::15 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::16 1 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::17 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::18 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::19 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::20 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::21 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::22 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::23 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::24 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::25 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::26 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::27 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::28 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::29 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::30 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::31 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::32 6 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::55 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::56 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::57 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::58 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::59 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::60 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::61 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::62 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.mem_ctrl.bytesPerActivate::samples 766 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::mean 629.556136 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::gmean 420.481555 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::stdev 399.288519 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::0-127 144 18.80% 18.80% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::128-255 67 8.75% 27.55% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::256-383 42 5.48% 33.03% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::384-511 49 6.40% 39.43% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::512-639 40 5.22% 44.65% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::640-767 39 5.09% 49.74% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::768-895 38 4.96% 54.70% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::896-1023 34 4.44% 59.14% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::1024-1151 313 40.86% 100.00% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::total 766 # Bytes accessed per row activation
-system.mem_ctrl.rdPerTurnAround::samples 6 # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::mean 1237 # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::gmean 1086.549947 # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::stdev 686.122730 # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::512-639 2 33.33% 33.33% # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::1152-1279 2 33.33% 66.67% # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::1408-1535 1 16.67% 83.33% # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::2304-2431 1 16.67% 100.00% # Reads before turning the bus around for writes
-system.mem_ctrl.rdPerTurnAround::total 6 # Reads before turning the bus around for writes
-system.mem_ctrl.wrPerTurnAround::samples 6 # Writes before turning the bus around for reads
-system.mem_ctrl.wrPerTurnAround::mean 16 # Writes before turning the bus around for reads
-system.mem_ctrl.wrPerTurnAround::gmean 16.000000 # Writes before turning the bus around for reads
-system.mem_ctrl.wrPerTurnAround::16 6 100.00% 100.00% # Writes before turning the bus around for reads
-system.mem_ctrl.wrPerTurnAround::total 6 # Writes before turning the bus around for reads
-system.mem_ctrl.totQLat 73323250 # Total ticks spent queuing
-system.mem_ctrl.totMemAccLat 213217000 # Total ticks spent from burst creation until serviced by the DRAM
-system.mem_ctrl.totBusLat 37305000 # Total ticks spent in databus transfers
-system.mem_ctrl.avgQLat 9827.54 # Average queueing delay per DRAM burst
-system.mem_ctrl.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.mem_ctrl.avgMemAccLat 28577.54 # Average memory access latency per DRAM burst
-system.mem_ctrl.avgRdBW 1035.56 # Average DRAM read bandwidth in MiByte/s
-system.mem_ctrl.avgWrBW 13.32 # Average achieved write bandwidth in MiByte/s
-system.mem_ctrl.avgRdBWSys 75.25 # Average system read bandwidth in MiByte/s
-system.mem_ctrl.avgWrBWSys 14.52 # Average system write bandwidth in MiByte/s
-system.mem_ctrl.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.mem_ctrl.busUtil 8.19 # Data bus utilization in percentage
-system.mem_ctrl.busUtilRead 8.09 # Data bus utilization in percentage for reads
-system.mem_ctrl.busUtilWrite 0.10 # Data bus utilization in percentage for writes
-system.mem_ctrl.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.mem_ctrl.avgWrQLen 23.97 # Average write queue length when enqueuing
-system.mem_ctrl.readRowHits 6701 # Number of row buffer hits during reads
-system.mem_ctrl.writeRowHits 86 # Number of row buffer hits during writes
-system.mem_ctrl.readRowHitRate 89.81 # Row buffer hit rate for reads
-system.mem_ctrl.writeRowHitRate 76.11 # Row buffer hit rate for writes
-system.mem_ctrl.avgGap 54118.09 # Average gap between requests
-system.mem_ctrl.pageHitRate 89.61 # Row buffer hit rate, read and write combined
-system.mem_ctrl_0.actEnergy 3184440 # Energy for activate commands per rank (pJ)
-system.mem_ctrl_0.preEnergy 1681185 # Energy for precharge commands per rank (pJ)
-system.mem_ctrl_0.readEnergy 34164900 # Energy for read commands per rank (pJ)
-system.mem_ctrl_0.writeEnergy 130500 # Energy for write commands per rank (pJ)
-system.mem_ctrl_0.refreshEnergy 36263760.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrl_0.actBackEnergy 65335680 # Energy for active background per rank (pJ)
-system.mem_ctrl_0.preBackEnergy 1899360 # Energy for precharge background per rank (pJ)
-system.mem_ctrl_0.actPowerDownEnergy 128211240 # Energy for active power-down per rank (pJ)
-system.mem_ctrl_0.prePowerDownEnergy 12180000 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrl_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.mem_ctrl_0.totalEnergy 283051065 # Total energy per rank (pJ)
-system.mem_ctrl_0.averagePower 613.847162 # Core power per rank (mW)
-system.mem_ctrl_0.totalIdleTime 312833500 # Total Idle time Per DRAM Rank
-system.mem_ctrl_0.memoryStateTime::IDLE 882000 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::REF 15340000 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::SREF 0 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::PRE_PDN 31715250 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::ACT 132053500 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::ACT_PDN 281118250 # Time in different power states
-system.mem_ctrl_1.actEnergy 2313360 # Energy for activate commands per rank (pJ)
-system.mem_ctrl_1.preEnergy 1225785 # Energy for precharge commands per rank (pJ)
-system.mem_ctrl_1.readEnergy 19099500 # Energy for read commands per rank (pJ)
-system.mem_ctrl_1.writeEnergy 370620 # Energy for write commands per rank (pJ)
-system.mem_ctrl_1.refreshEnergy 35649120.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrl_1.actBackEnergy 44402430 # Energy for active background per rank (pJ)
-system.mem_ctrl_1.preBackEnergy 1287360 # Energy for precharge background per rank (pJ)
-system.mem_ctrl_1.actPowerDownEnergy 129142620 # Energy for active power-down per rank (pJ)
-system.mem_ctrl_1.prePowerDownEnergy 18055680 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrl_1.selfRefreshEnergy 8894220 # Energy for self refresh per rank (pJ)
-system.mem_ctrl_1.totalEnergy 260440695 # Total energy per rank (pJ)
-system.mem_ctrl_1.averagePower 564.812507 # Core power per rank (mW)
-system.mem_ctrl_1.totalIdleTime 359701750 # Total Idle time Per DRAM Rank
-system.mem_ctrl_1.memoryStateTime::IDLE 1420000 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::REF 15098000 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::SREF 30156500 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::PRE_PDN 47020500 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::ACT 84176750 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::ACT_PDN 283237250 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 461109000 # Cumulative time (in ticks) in various power states
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1190 # DTB read hits
-system.cpu.dtb.read_misses 7 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1197 # DTB read accesses
-system.cpu.dtb.write_hits 865 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 868 # DTB write accesses
-system.cpu.dtb.data_hits 2055 # DTB hits
-system.cpu.dtb.data_misses 10 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2065 # DTB accesses
-system.cpu.itb.fetch_hits 6464 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 6481 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 461109000 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 461109 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6453 # Number of instructions committed
-system.cpu.committedOps 6453 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 6380 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
-system.cpu.num_func_calls 251 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 759 # number of instructions that are conditional controls
-system.cpu.num_int_insts 6380 # number of integer instructions
-system.cpu.num_fp_insts 10 # number of float instructions
-system.cpu.num_int_register_reads 8392 # number of times the integer registers were read
-system.cpu.num_int_register_writes 4621 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
-system.cpu.num_mem_refs 2065 # number of memory refs
-system.cpu.num_load_insts 1197 # Number of load instructions
-system.cpu.num_store_insts 868 # Number of store instructions
-system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 461109 # Number of busy cycles
-system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0 # Percentage of idle cycles
-system.cpu.Branches 1060 # Number of branches fetched
-system.cpu.op_class::No_OpClass 19 0.29% 0.29% # Class of executed instruction
-system.cpu.op_class::IntAlu 4376 67.71% 68.00% # Class of executed instruction
-system.cpu.op_class::IntMult 1 0.02% 68.02% # Class of executed instruction
-system.cpu.op_class::IntDiv 0 0.00% 68.02% # Class of executed instruction
-system.cpu.op_class::FloatAdd 2 0.03% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatDiv 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAdd 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAddAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAlu 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdShift 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdShiftAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatAdd 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatAlu 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatDiv 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::MemRead 1196 18.51% 86.55% # Class of executed instruction
-system.cpu.op_class::MemWrite 861 13.32% 99.88% # Class of executed instruction
-system.cpu.op_class::FloatMemRead 1 0.02% 99.89% # Class of executed instruction
-system.cpu.op_class::FloatMemWrite 7 0.11% 100.00% # Class of executed instruction
-system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 6463 # Class of executed instruction
-system.membus.snoop_filter.tot_requests 0 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 461109000 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadReq 7654 # Transaction distribution
-system.membus.trans_dist::ReadResp 7653 # Transaction distribution
-system.membus.trans_dist::WriteReq 865 # Transaction distribution
-system.membus.trans_dist::WriteResp 865 # Transaction distribution
-system.membus.pkt_count_system.cpu.icache_port::system.mem_ctrl.port 12927 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.dcache_port::system.mem_ctrl.port 4110 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 17037 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.icache_port::system.mem_ctrl.port 25852 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size_system.cpu.dcache_port::system.mem_ctrl.port 15540 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 41392 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 8519 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 8519 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 8519 # Request fanout histogram
-system.membus.reqLayer0.occupancy 9384000 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 2.0 # Layer utilization (%)
-system.membus.respLayer0.occupancy 14690000 # Layer occupancy (ticks)
-system.membus.respLayer0.utilization 3.2 # Layer utilization (%)
-system.membus.respLayer1.occupancy 3572750 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 0.8 # Layer utilization (%)
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/config.ini b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/config.ini
deleted file mode 100644
index 5a1f94c78..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/config.ini
+++ /dev/null
@@ -1,432 +0,0 @@
-[root]
-type=Root
-children=system
-eventq_index=0
-full_system=false
-sim_quantum=0
-time_sync_enable=false
-time_sync_period=100000000000
-time_sync_spin_threshold=100000000
-
-[system]
-type=System
-children=clk_domain cpu dvfs_handler l2bus l2cache mem_ctrl membus
-boot_osflags=a
-cache_line_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-exit_on_work_items=false
-init_param=0
-kernel=
-kernel_addr_check=true
-load_addr_mask=1099511627775
-load_offset=0
-mem_mode=timing
-mem_ranges=0:536870911:0:0:0:0
-memories=system.mem_ctrl
-mmap_using_noreserve=false
-multi_thread=false
-num_work_ids=16
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-readfile=
-symbolfile=
-thermal_components=
-thermal_model=Null
-work_begin_ckpt_count=0
-work_begin_cpu_id_exit=-1
-work_begin_exit_count=0
-work_cpus_ckpt_count=0
-work_end_ckpt_count=0
-work_end_exit_count=0
-work_item_id=-1
-system_port=system.membus.slave[1]
-
-[system.clk_domain]
-type=SrcClockDomain
-children=voltage_domain
-clock=1000
-domain_id=-1
-eventq_index=0
-init_perf_level=0
-voltage_domain=system.clk_domain.voltage_domain
-
-[system.clk_domain.voltage_domain]
-type=VoltageDomain
-eventq_index=0
-voltage=1.000000
-
-[system.cpu]
-type=TimingSimpleCPU
-children=dcache dtb icache interrupts isa itb tracer workload
-branchPred=Null
-checker=Null
-clk_domain=system.clk_domain
-cpu_id=-1
-default_p_state=UNDEFINED
-do_checkpoint_insts=true
-do_quiesce=true
-do_statistics_insts=true
-dtb=system.cpu.dtb
-eventq_index=0
-function_trace=false
-function_trace_start=0
-interrupts=system.cpu.interrupts
-isa=system.cpu.isa
-itb=system.cpu.itb
-max_insts_all_threads=0
-max_insts_any_thread=0
-max_loads_all_threads=0
-max_loads_any_thread=0
-numThreads=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-profile=0
-progress_interval=0
-simpoint_start_insts=
-socket_id=0
-switched_out=false
-system=system
-tracer=system.cpu.tracer
-workload=system.cpu.workload
-dcache_port=system.cpu.dcache.cpu_side
-icache_port=system.cpu.icache.cpu_side
-
-[system.cpu.dcache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=65536
-system=system
-tags=system.cpu.dcache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.dcache_port
-mem_side=system.l2bus.slave[1]
-
-[system.cpu.dcache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=65536
-
-[system.cpu.dtb]
-type=AlphaTLB
-eventq_index=0
-size=64
-
-[system.cpu.icache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=2
-clk_domain=system.clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=2
-is_read_only=false
-max_miss_count=0
-mshrs=4
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=2
-sequential_access=false
-size=16384
-system=system
-tags=system.cpu.icache.tags
-tgts_per_mshr=20
-write_buffers=8
-writeback_clean=false
-cpu_side=system.cpu.icache_port
-mem_side=system.l2bus.slave[0]
-
-[system.cpu.icache.tags]
-type=LRU
-assoc=2
-block_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=2
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=16384
-
-[system.cpu.interrupts]
-type=AlphaInterrupts
-eventq_index=0
-
-[system.cpu.isa]
-type=AlphaISA
-eventq_index=0
-system=system
-
-[system.cpu.itb]
-type=AlphaTLB
-eventq_index=0
-size=48
-
-[system.cpu.tracer]
-type=ExeTracer
-eventq_index=0
-
-[system.cpu.workload]
-type=LiveProcess
-cmd=tests/test-progs/hello/bin/alpha/linux/hello
-cwd=
-drivers=
-egid=100
-env=
-errout=cerr
-euid=100
-eventq_index=0
-executable=
-gid=100
-input=cin
-kvmInSE=false
-max_stack_size=67108864
-output=cout
-pid=100
-ppid=99
-simpoint=0
-system=system
-uid=100
-useArchPT=false
-
-[system.dvfs_handler]
-type=DVFSHandler
-domains=
-enable=false
-eventq_index=0
-sys_clk_domain=system.clk_domain
-transition_latency=100000000
-
-[system.l2bus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=0
-frontend_latency=1
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=false
-power_model=Null
-response_latency=1
-snoop_filter=system.l2bus.snoop_filter
-snoop_response_latency=1
-system=system
-use_default_range=false
-width=32
-master=system.l2cache.cpu_side
-slave=system.cpu.icache.mem_side system.cpu.dcache.mem_side
-
-[system.l2bus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=0
-max_capacity=8388608
-system=system
-
-[system.l2cache]
-type=Cache
-children=tags
-addr_ranges=0:18446744073709551615:0:0:0:0
-assoc=8
-clk_domain=system.clk_domain
-clusivity=mostly_incl
-default_p_state=UNDEFINED
-demand_mshr_reserve=1
-eventq_index=0
-hit_latency=20
-is_read_only=false
-max_miss_count=0
-mshrs=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-prefetch_on_access=false
-prefetcher=Null
-response_latency=20
-sequential_access=false
-size=262144
-system=system
-tags=system.l2cache.tags
-tgts_per_mshr=12
-write_buffers=8
-writeback_clean=false
-cpu_side=system.l2bus.master[0]
-mem_side=system.membus.slave[0]
-
-[system.l2cache.tags]
-type=LRU
-assoc=8
-block_size=64
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-hit_latency=20
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-power_model=Null
-sequential_access=false
-size=262144
-
-[system.mem_ctrl]
-type=DRAMCtrl
-IDD0=0.055000
-IDD02=0.000000
-IDD2N=0.032000
-IDD2N2=0.000000
-IDD2P0=0.000000
-IDD2P02=0.000000
-IDD2P1=0.032000
-IDD2P12=0.000000
-IDD3N=0.038000
-IDD3N2=0.000000
-IDD3P0=0.000000
-IDD3P02=0.000000
-IDD3P1=0.038000
-IDD3P12=0.000000
-IDD4R=0.157000
-IDD4R2=0.000000
-IDD4W=0.125000
-IDD4W2=0.000000
-IDD5=0.235000
-IDD52=0.000000
-IDD6=0.020000
-IDD62=0.000000
-VDD=1.500000
-VDD2=0.000000
-activation_limit=4
-addr_mapping=RoRaBaCoCh
-bank_groups_per_rank=0
-banks_per_rank=8
-burst_length=8
-channels=1
-clk_domain=system.clk_domain
-conf_table_reported=true
-default_p_state=UNDEFINED
-device_bus_width=8
-device_rowbuffer_size=1024
-device_size=536870912
-devices_per_rank=8
-dll=true
-eventq_index=0
-in_addr_map=true
-kvm_map=true
-max_accesses_per_row=16
-mem_sched_policy=frfcfs
-min_writes_per_switch=16
-null=false
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-page_policy=open_adaptive
-power_model=Null
-range=0:536870911:0:0:0:0
-ranks_per_channel=2
-read_buffer_size=32
-static_backend_latency=10000
-static_frontend_latency=10000
-tBURST=5000
-tCCD_L=0
-tCK=1250
-tCL=13750
-tCS=2500
-tRAS=35000
-tRCD=13750
-tREFI=7800000
-tRFC=260000
-tRP=13750
-tRRD=6000
-tRRD_L=0
-tRTP=7500
-tRTW=2500
-tWR=15000
-tWTR=7500
-tXAW=30000
-tXP=6000
-tXPDLL=0
-tXS=270000
-tXSDLL=0
-write_buffer_size=64
-write_high_thresh_perc=85
-write_low_thresh_perc=50
-port=system.membus.master[0]
-
-[system.membus]
-type=CoherentXBar
-children=snoop_filter
-clk_domain=system.clk_domain
-default_p_state=UNDEFINED
-eventq_index=0
-forward_latency=4
-frontend_latency=3
-p_state_clk_gate_bins=20
-p_state_clk_gate_max=1000000000000
-p_state_clk_gate_min=1000
-point_of_coherency=true
-power_model=Null
-response_latency=2
-snoop_filter=system.membus.snoop_filter
-snoop_response_latency=4
-system=system
-use_default_range=false
-width=16
-master=system.mem_ctrl.port
-slave=system.l2cache.mem_side system.system_port
-
-[system.membus.snoop_filter]
-type=SnoopFilter
-eventq_index=0
-lookup_latency=1
-max_capacity=8388608
-system=system
-
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simerr b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simerr
deleted file mode 100755
index 2f9507495..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simerr
+++ /dev/null
@@ -1,3 +0,0 @@
-warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (512 Mbytes)
-warn: Sockets disabled, not accepting gdb connections
-warn: ClockedObject: More than one power state change request encountered within the same simulation tick
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simout b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simout
deleted file mode 100755
index 2e75b8af5..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/simout
+++ /dev/null
@@ -1,16 +0,0 @@
-Redirecting stdout to build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level/simout
-Redirecting stderr to build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level/simerr
-gem5 Simulator System. http://gem5.org
-gem5 is copyrighted software; use the --copyright option for details.
-
-gem5 compiled Oct 11 2016 00:00:58
-gem5 started Oct 13 2016 20:19:46
-gem5 executing on e108600-lin, pid 28074
-command line: /work/curdun01/gem5-external.hg/build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level
-
-Global frequency set at 1000000000000 ticks per second
-Beginning simulation!
-info: Entering event queue @ 0. Starting simulation...
-info: Increasing stack size by one page.
-Hello world!
-Exiting @ tick 64758000 because target called exit()
diff --git a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/stats.txt b/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/stats.txt
deleted file mode 100644
index 58d0eeab6..000000000
--- a/tests/quick/se/03.learning-gem5/ref/alpha/linux/learning-gem5-p1-two-level/stats.txt
+++ /dev/null
@@ -1,745 +0,0 @@
-
----------- Begin Simulation Statistics ----------
-sim_seconds 0.000065 # Number of seconds simulated
-sim_ticks 64758000 # Number of ticks simulated
-final_tick 64758000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
-sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 610635 # Simulator instruction rate (inst/s)
-host_op_rate 610062 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 6117087273 # Simulator tick rate (ticks/s)
-host_mem_usage 638532 # Number of bytes of host memory used
-host_seconds 0.01 # Real time elapsed on the host
-sim_insts 6453 # Number of instructions simulated
-sim_ops 6453 # Number of ops (including micro ops) simulated
-system.clk_domain.voltage_domain.voltage 1 # Voltage in Volts
-system.clk_domain.clock 1000 # Clock period in ticks
-system.mem_ctrl.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.mem_ctrl.bytes_read::cpu.inst 17792 # Number of bytes read from this memory
-system.mem_ctrl.bytes_read::cpu.data 10752 # Number of bytes read from this memory
-system.mem_ctrl.bytes_read::total 28544 # Number of bytes read from this memory
-system.mem_ctrl.bytes_inst_read::cpu.inst 17792 # Number of instructions bytes read from this memory
-system.mem_ctrl.bytes_inst_read::total 17792 # Number of instructions bytes read from this memory
-system.mem_ctrl.num_reads::cpu.inst 278 # Number of read requests responded to by this memory
-system.mem_ctrl.num_reads::cpu.data 168 # Number of read requests responded to by this memory
-system.mem_ctrl.num_reads::total 446 # Number of read requests responded to by this memory
-system.mem_ctrl.bw_read::cpu.inst 274745977 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_read::cpu.data 166033540 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_read::total 440779518 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_inst_read::cpu.inst 274745977 # Instruction read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_inst_read::total 274745977 # Instruction read bandwidth from this memory (bytes/s)
-system.mem_ctrl.bw_total::cpu.inst 274745977 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.bw_total::cpu.data 166033540 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.bw_total::total 440779518 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrl.readReqs 446 # Number of read requests accepted
-system.mem_ctrl.writeReqs 0 # Number of write requests accepted
-system.mem_ctrl.readBursts 446 # Number of DRAM read bursts, including those serviced by the write queue
-system.mem_ctrl.writeBursts 0 # Number of DRAM write bursts, including those merged in the write queue
-system.mem_ctrl.bytesReadDRAM 28544 # Total number of bytes read from DRAM
-system.mem_ctrl.bytesReadWrQ 0 # Total number of bytes read from write queue
-system.mem_ctrl.bytesWritten 0 # Total number of bytes written to DRAM
-system.mem_ctrl.bytesReadSys 28544 # Total read bytes from the system interface side
-system.mem_ctrl.bytesWrittenSys 0 # Total written bytes from the system interface side
-system.mem_ctrl.servicedByWrQ 0 # Number of DRAM read bursts serviced by the write queue
-system.mem_ctrl.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
-system.mem_ctrl.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.mem_ctrl.perBankRdBursts::0 62 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::1 26 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::2 24 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::3 43 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::4 40 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::5 17 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::6 1 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::7 3 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::8 0 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::9 1 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::10 19 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::11 23 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::12 14 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::13 116 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::14 45 # Per bank write bursts
-system.mem_ctrl.perBankRdBursts::15 12 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::0 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::1 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::2 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::3 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::4 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::5 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::6 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::7 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::8 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::9 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::10 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::11 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::12 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::13 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::14 0 # Per bank write bursts
-system.mem_ctrl.perBankWrBursts::15 0 # Per bank write bursts
-system.mem_ctrl.numRdRetry 0 # Number of times read queue was full causing retry
-system.mem_ctrl.numWrRetry 0 # Number of times write queue was full causing retry
-system.mem_ctrl.totGap 64501000 # Total gap between requests
-system.mem_ctrl.readPktSize::0 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::1 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::2 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::3 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::4 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::5 0 # Read request sizes (log2)
-system.mem_ctrl.readPktSize::6 446 # Read request sizes (log2)
-system.mem_ctrl.writePktSize::0 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::1 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::2 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::3 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::4 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::5 0 # Write request sizes (log2)
-system.mem_ctrl.writePktSize::6 0 # Write request sizes (log2)
-system.mem_ctrl.rdQLenPdf::0 446 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::1 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::2 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::3 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::4 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::15 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::16 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::17 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::28 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::29 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::30 0 # What read queue length does an incoming req see
-system.mem_ctrl.rdQLenPdf::31 0 # What read queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::0 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::1 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::2 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::3 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::4 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::5 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::6 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::7 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::8 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::9 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::10 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::11 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::12 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::13 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::14 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::15 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::16 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::17 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::18 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::19 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::20 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::21 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::22 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::23 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::24 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::25 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::26 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::27 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::28 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::29 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::30 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::31 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::32 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::55 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::56 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::57 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::58 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::59 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::60 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::61 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::62 0 # What write queue length does an incoming req see
-system.mem_ctrl.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.mem_ctrl.bytesPerActivate::samples 105 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::mean 264.533333 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::gmean 181.831163 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::stdev 249.307389 # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::0-127 27 25.71% 25.71% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::128-255 40 38.10% 63.81% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::256-383 10 9.52% 73.33% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::384-511 9 8.57% 81.90% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::512-639 7 6.67% 88.57% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::640-767 6 5.71% 94.29% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::768-895 1 0.95% 95.24% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::1024-1151 5 4.76% 100.00% # Bytes accessed per row activation
-system.mem_ctrl.bytesPerActivate::total 105 # Bytes accessed per row activation
-system.mem_ctrl.totQLat 6134000 # Total ticks spent queuing
-system.mem_ctrl.totMemAccLat 14496500 # Total ticks spent from burst creation until serviced by the DRAM
-system.mem_ctrl.totBusLat 2230000 # Total ticks spent in databus transfers
-system.mem_ctrl.avgQLat 13753.36 # Average queueing delay per DRAM burst
-system.mem_ctrl.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.mem_ctrl.avgMemAccLat 32503.36 # Average memory access latency per DRAM burst
-system.mem_ctrl.avgRdBW 440.78 # Average DRAM read bandwidth in MiByte/s
-system.mem_ctrl.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.mem_ctrl.avgRdBWSys 440.78 # Average system read bandwidth in MiByte/s
-system.mem_ctrl.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
-system.mem_ctrl.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.mem_ctrl.busUtil 3.44 # Data bus utilization in percentage
-system.mem_ctrl.busUtilRead 3.44 # Data bus utilization in percentage for reads
-system.mem_ctrl.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.mem_ctrl.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.mem_ctrl.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.mem_ctrl.readRowHits 337 # Number of row buffer hits during reads
-system.mem_ctrl.writeRowHits 0 # Number of row buffer hits during writes
-system.mem_ctrl.readRowHitRate 75.56 # Row buffer hit rate for reads
-system.mem_ctrl.writeRowHitRate nan # Row buffer hit rate for writes
-system.mem_ctrl.avgGap 144621.08 # Average gap between requests
-system.mem_ctrl.pageHitRate 75.56 # Row buffer hit rate, read and write combined
-system.mem_ctrl_0.actEnergy 314160 # Energy for activate commands per rank (pJ)
-system.mem_ctrl_0.preEnergy 163185 # Energy for precharge commands per rank (pJ)
-system.mem_ctrl_0.readEnergy 1542240 # Energy for read commands per rank (pJ)
-system.mem_ctrl_0.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.mem_ctrl_0.refreshEnergy 4917120.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrl_0.actBackEnergy 3812160 # Energy for active background per rank (pJ)
-system.mem_ctrl_0.preBackEnergy 131040 # Energy for precharge background per rank (pJ)
-system.mem_ctrl_0.actPowerDownEnergy 22575420 # Energy for active power-down per rank (pJ)
-system.mem_ctrl_0.prePowerDownEnergy 2515200 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrl_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.mem_ctrl_0.totalEnergy 35970525 # Total energy per rank (pJ)
-system.mem_ctrl_0.averagePower 555.454282 # Core power per rank (mW)
-system.mem_ctrl_0.totalIdleTime 55623250 # Total Idle time Per DRAM Rank
-system.mem_ctrl_0.memoryStateTime::IDLE 77000 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::REF 2080000 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::SREF 0 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::PRE_PDN 6549500 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::ACT 6531250 # Time in different power states
-system.mem_ctrl_0.memoryStateTime::ACT_PDN 49520250 # Time in different power states
-system.mem_ctrl_1.actEnergy 464100 # Energy for activate commands per rank (pJ)
-system.mem_ctrl_1.preEnergy 235290 # Energy for precharge commands per rank (pJ)
-system.mem_ctrl_1.readEnergy 1642200 # Energy for read commands per rank (pJ)
-system.mem_ctrl_1.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.mem_ctrl_1.refreshEnergy 4917120.000000 # Energy for refresh commands per rank (pJ)
-system.mem_ctrl_1.actBackEnergy 4174680 # Energy for active background per rank (pJ)
-system.mem_ctrl_1.preBackEnergy 251520 # Energy for precharge background per rank (pJ)
-system.mem_ctrl_1.actPowerDownEnergy 24338430 # Energy for active power-down per rank (pJ)
-system.mem_ctrl_1.prePowerDownEnergy 604800 # Energy for precharge power-down per rank (pJ)
-system.mem_ctrl_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
-system.mem_ctrl_1.totalEnergy 36628140 # Total energy per rank (pJ)
-system.mem_ctrl_1.averagePower 565.609126 # Core power per rank (mW)
-system.mem_ctrl_1.totalIdleTime 54728750 # Total Idle time Per DRAM Rank
-system.mem_ctrl_1.memoryStateTime::IDLE 283000 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::REF 2080000 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::SREF 0 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::PRE_PDN 1573250 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::ACT 7457000 # Time in different power states
-system.mem_ctrl_1.memoryStateTime::ACT_PDN 53364750 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.dtb.fetch_hits 0 # ITB hits
-system.cpu.dtb.fetch_misses 0 # ITB misses
-system.cpu.dtb.fetch_acv 0 # ITB acv
-system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 1190 # DTB read hits
-system.cpu.dtb.read_misses 7 # DTB read misses
-system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 1197 # DTB read accesses
-system.cpu.dtb.write_hits 865 # DTB write hits
-system.cpu.dtb.write_misses 3 # DTB write misses
-system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 868 # DTB write accesses
-system.cpu.dtb.data_hits 2055 # DTB hits
-system.cpu.dtb.data_misses 10 # DTB misses
-system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 2065 # DTB accesses
-system.cpu.itb.fetch_hits 6464 # ITB hits
-system.cpu.itb.fetch_misses 17 # ITB misses
-system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 6481 # ITB accesses
-system.cpu.itb.read_hits 0 # DTB read hits
-system.cpu.itb.read_misses 0 # DTB read misses
-system.cpu.itb.read_acv 0 # DTB read access violations
-system.cpu.itb.read_accesses 0 # DTB read accesses
-system.cpu.itb.write_hits 0 # DTB write hits
-system.cpu.itb.write_misses 0 # DTB write misses
-system.cpu.itb.write_acv 0 # DTB write access violations
-system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.data_hits 0 # DTB hits
-system.cpu.itb.data_misses 0 # DTB misses
-system.cpu.itb.data_acv 0 # DTB access violations
-system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.workload.numSyscalls 17 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 64758 # number of cpu cycles simulated
-system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
-system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 6453 # Number of instructions committed
-system.cpu.committedOps 6453 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 6380 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
-system.cpu.num_func_calls 251 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 759 # number of instructions that are conditional controls
-system.cpu.num_int_insts 6380 # number of integer instructions
-system.cpu.num_fp_insts 10 # number of float instructions
-system.cpu.num_int_register_reads 8392 # number of times the integer registers were read
-system.cpu.num_int_register_writes 4621 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
-system.cpu.num_mem_refs 2065 # number of memory refs
-system.cpu.num_load_insts 1197 # Number of load instructions
-system.cpu.num_store_insts 868 # Number of store instructions
-system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 64758 # Number of busy cycles
-system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0 # Percentage of idle cycles
-system.cpu.Branches 1060 # Number of branches fetched
-system.cpu.op_class::No_OpClass 19 0.29% 0.29% # Class of executed instruction
-system.cpu.op_class::IntAlu 4376 67.71% 68.00% # Class of executed instruction
-system.cpu.op_class::IntMult 1 0.02% 68.02% # Class of executed instruction
-system.cpu.op_class::IntDiv 0 0.00% 68.02% # Class of executed instruction
-system.cpu.op_class::FloatAdd 2 0.03% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatDiv 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::FloatSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAdd 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAddAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdAlu 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdShift 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdShiftAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatAdd 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatAlu 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatCmp 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatCvt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatDiv 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMisc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMult 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatMultAcc 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::SimdFloatSqrt 0 0.00% 68.05% # Class of executed instruction
-system.cpu.op_class::MemRead 1196 18.51% 86.55% # Class of executed instruction
-system.cpu.op_class::MemWrite 861 13.32% 99.88% # Class of executed instruction
-system.cpu.op_class::FloatMemRead 1 0.02% 99.89% # Class of executed instruction
-system.cpu.op_class::FloatMemWrite 7 0.11% 100.00% # Class of executed instruction
-system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 6463 # Class of executed instruction
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 104.399751 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 1887 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 168 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 11.232143 # Average number of references to valid blocks.
-system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 104.399751 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.101953 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.101953 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 168 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 12 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 156 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.164062 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 4278 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 4278 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.dcache.ReadReq_hits::cpu.data 1095 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1095 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 792 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 792 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 1887 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 1887 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 1887 # number of overall hits
-system.cpu.dcache.overall_hits::total 1887 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 95 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 95 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 73 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 73 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 168 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 168 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 168 # number of overall misses
-system.cpu.dcache.overall_misses::total 168 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 10261000 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 10261000 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 7802000 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 7802000 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 18063000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 18063000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 18063000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 18063000 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 1190 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 1190 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 865 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2055 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2055 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2055 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2055 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.079832 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.079832 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.084393 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.084393 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.081752 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.081752 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.081752 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.081752 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 108010.526316 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 108010.526316 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 106876.712329 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 106876.712329 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 107517.857143 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 107517.857143 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 107517.857143 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 107517.857143 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 95 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 95 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 73 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 73 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 168 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 168 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 168 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 168 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 10071000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 10071000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 7656000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 7656000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 17727000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 17727000 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 17727000 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 17727000 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.079832 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.079832 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.081752 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.081752 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.081752 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.081752 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 106010.526316 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 106010.526316 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 104876.712329 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 104876.712329 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 105517.857143 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 105517.857143 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 105517.857143 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 105517.857143 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.tags.replacements 62 # number of replacements
-system.cpu.icache.tags.tagsinuse 113.445692 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 6183 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 281 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 22.003559 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 113.445692 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.443147 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.443147 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 219 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 52 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 167 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.855469 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 13209 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 13209 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 6183 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 6183 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 6183 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 6183 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 6183 # number of overall hits
-system.cpu.icache.overall_hits::total 6183 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 281 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 281 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 281 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 281 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 281 # number of overall misses
-system.cpu.icache.overall_misses::total 281 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 30557000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 30557000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 30557000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 30557000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 30557000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 30557000 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 6464 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 6464 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 6464 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 6464 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 6464 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 6464 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.043472 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.043472 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.043472 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.043472 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.043472 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.043472 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 108743.772242 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 108743.772242 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 108743.772242 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 108743.772242 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 108743.772242 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 108743.772242 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 281 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 281 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 281 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 281 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 281 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 281 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 29995000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 29995000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 29995000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 29995000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 29995000 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 29995000 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.043472 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.043472 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.043472 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.043472 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.043472 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.043472 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 106743.772242 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 106743.772242 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 106743.772242 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 106743.772242 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 106743.772242 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 106743.772242 # average overall mshr miss latency
-system.l2bus.snoop_filter.tot_requests 511 # Total number of requests made to the snoop filter.
-system.l2bus.snoop_filter.hit_single_requests 63 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.l2bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.l2bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.l2bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.l2bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.l2bus.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.l2bus.trans_dist::ReadResp 376 # Transaction distribution
-system.l2bus.trans_dist::CleanEvict 62 # Transaction distribution
-system.l2bus.trans_dist::ReadExReq 73 # Transaction distribution
-system.l2bus.trans_dist::ReadExResp 73 # Transaction distribution
-system.l2bus.trans_dist::ReadSharedReq 376 # Transaction distribution
-system.l2bus.pkt_count_system.cpu.icache.mem_side::system.l2cache.cpu_side 624 # Packet count per connected master and slave (bytes)
-system.l2bus.pkt_count_system.cpu.dcache.mem_side::system.l2cache.cpu_side 336 # Packet count per connected master and slave (bytes)
-system.l2bus.pkt_count::total 960 # Packet count per connected master and slave (bytes)
-system.l2bus.pkt_size_system.cpu.icache.mem_side::system.l2cache.cpu_side 17984 # Cumulative packet size per connected master and slave (bytes)
-system.l2bus.pkt_size_system.cpu.dcache.mem_side::system.l2cache.cpu_side 10752 # Cumulative packet size per connected master and slave (bytes)
-system.l2bus.pkt_size::total 28736 # Cumulative packet size per connected master and slave (bytes)
-system.l2bus.snoops 0 # Total snoops (count)
-system.l2bus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.l2bus.snoop_fanout::samples 449 # Request fanout histogram
-system.l2bus.snoop_fanout::mean 0.002227 # Request fanout histogram
-system.l2bus.snoop_fanout::stdev 0.047193 # Request fanout histogram
-system.l2bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.l2bus.snoop_fanout::0 448 99.78% 99.78% # Request fanout histogram
-system.l2bus.snoop_fanout::1 1 0.22% 100.00% # Request fanout histogram
-system.l2bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
-system.l2bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.l2bus.snoop_fanout::min_value 0 # Request fanout histogram
-system.l2bus.snoop_fanout::max_value 1 # Request fanout histogram
-system.l2bus.snoop_fanout::total 449 # Request fanout histogram
-system.l2bus.reqLayer0.occupancy 511000 # Layer occupancy (ticks)
-system.l2bus.reqLayer0.utilization 0.8 # Layer utilization (%)
-system.l2bus.respLayer0.occupancy 843000 # Layer occupancy (ticks)
-system.l2bus.respLayer0.utilization 1.3 # Layer utilization (%)
-system.l2bus.respLayer1.occupancy 504000 # Layer occupancy (ticks)
-system.l2bus.respLayer1.utilization 0.8 # Layer utilization (%)
-system.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.l2cache.tags.replacements 0 # number of replacements
-system.l2cache.tags.tagsinuse 232.606847 # Cycle average of tags in use
-system.l2cache.tags.total_refs 65 # Total number of references to valid blocks.
-system.l2cache.tags.sampled_refs 446 # Sample count of references to valid blocks.
-system.l2cache.tags.avg_refs 0.145740 # Average number of references to valid blocks.
-system.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2cache.tags.occ_blocks::cpu.inst 128.152617 # Average occupied blocks per requestor
-system.l2cache.tags.occ_blocks::cpu.data 104.454231 # Average occupied blocks per requestor
-system.l2cache.tags.occ_percent::cpu.inst 0.031287 # Average percentage of cache occupancy
-system.l2cache.tags.occ_percent::cpu.data 0.025502 # Average percentage of cache occupancy
-system.l2cache.tags.occ_percent::total 0.056789 # Average percentage of cache occupancy
-system.l2cache.tags.occ_task_id_blocks::1024 446 # Occupied blocks per task id
-system.l2cache.tags.age_task_id_blocks_1024::0 62 # Occupied blocks per task id
-system.l2cache.tags.age_task_id_blocks_1024::1 384 # Occupied blocks per task id
-system.l2cache.tags.occ_task_id_percent::1024 0.108887 # Percentage of cache occupancy per task id
-system.l2cache.tags.tag_accesses 4534 # Number of tag accesses
-system.l2cache.tags.data_accesses 4534 # Number of data accesses
-system.l2cache.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.l2cache.ReadSharedReq_hits::cpu.inst 3 # number of ReadSharedReq hits
-system.l2cache.ReadSharedReq_hits::total 3 # number of ReadSharedReq hits
-system.l2cache.demand_hits::cpu.inst 3 # number of demand (read+write) hits
-system.l2cache.demand_hits::total 3 # number of demand (read+write) hits
-system.l2cache.overall_hits::cpu.inst 3 # number of overall hits
-system.l2cache.overall_hits::total 3 # number of overall hits
-system.l2cache.ReadExReq_misses::cpu.data 73 # number of ReadExReq misses
-system.l2cache.ReadExReq_misses::total 73 # number of ReadExReq misses
-system.l2cache.ReadSharedReq_misses::cpu.inst 278 # number of ReadSharedReq misses
-system.l2cache.ReadSharedReq_misses::cpu.data 95 # number of ReadSharedReq misses
-system.l2cache.ReadSharedReq_misses::total 373 # number of ReadSharedReq misses
-system.l2cache.demand_misses::cpu.inst 278 # number of demand (read+write) misses
-system.l2cache.demand_misses::cpu.data 168 # number of demand (read+write) misses
-system.l2cache.demand_misses::total 446 # number of demand (read+write) misses
-system.l2cache.overall_misses::cpu.inst 278 # number of overall misses
-system.l2cache.overall_misses::cpu.data 168 # number of overall misses
-system.l2cache.overall_misses::total 446 # number of overall misses
-system.l2cache.ReadExReq_miss_latency::cpu.data 7437000 # number of ReadExReq miss cycles
-system.l2cache.ReadExReq_miss_latency::total 7437000 # number of ReadExReq miss cycles
-system.l2cache.ReadSharedReq_miss_latency::cpu.inst 29087000 # number of ReadSharedReq miss cycles
-system.l2cache.ReadSharedReq_miss_latency::cpu.data 9786000 # number of ReadSharedReq miss cycles
-system.l2cache.ReadSharedReq_miss_latency::total 38873000 # number of ReadSharedReq miss cycles
-system.l2cache.demand_miss_latency::cpu.inst 29087000 # number of demand (read+write) miss cycles
-system.l2cache.demand_miss_latency::cpu.data 17223000 # number of demand (read+write) miss cycles
-system.l2cache.demand_miss_latency::total 46310000 # number of demand (read+write) miss cycles
-system.l2cache.overall_miss_latency::cpu.inst 29087000 # number of overall miss cycles
-system.l2cache.overall_miss_latency::cpu.data 17223000 # number of overall miss cycles
-system.l2cache.overall_miss_latency::total 46310000 # number of overall miss cycles
-system.l2cache.ReadExReq_accesses::cpu.data 73 # number of ReadExReq accesses(hits+misses)
-system.l2cache.ReadExReq_accesses::total 73 # number of ReadExReq accesses(hits+misses)
-system.l2cache.ReadSharedReq_accesses::cpu.inst 281 # number of ReadSharedReq accesses(hits+misses)
-system.l2cache.ReadSharedReq_accesses::cpu.data 95 # number of ReadSharedReq accesses(hits+misses)
-system.l2cache.ReadSharedReq_accesses::total 376 # number of ReadSharedReq accesses(hits+misses)
-system.l2cache.demand_accesses::cpu.inst 281 # number of demand (read+write) accesses
-system.l2cache.demand_accesses::cpu.data 168 # number of demand (read+write) accesses
-system.l2cache.demand_accesses::total 449 # number of demand (read+write) accesses
-system.l2cache.overall_accesses::cpu.inst 281 # number of overall (read+write) accesses
-system.l2cache.overall_accesses::cpu.data 168 # number of overall (read+write) accesses
-system.l2cache.overall_accesses::total 449 # number of overall (read+write) accesses
-system.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
-system.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.l2cache.ReadSharedReq_miss_rate::cpu.inst 0.989324 # miss rate for ReadSharedReq accesses
-system.l2cache.ReadSharedReq_miss_rate::cpu.data 1 # miss rate for ReadSharedReq accesses
-system.l2cache.ReadSharedReq_miss_rate::total 0.992021 # miss rate for ReadSharedReq accesses
-system.l2cache.demand_miss_rate::cpu.inst 0.989324 # miss rate for demand accesses
-system.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
-system.l2cache.demand_miss_rate::total 0.993318 # miss rate for demand accesses
-system.l2cache.overall_miss_rate::cpu.inst 0.989324 # miss rate for overall accesses
-system.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
-system.l2cache.overall_miss_rate::total 0.993318 # miss rate for overall accesses
-system.l2cache.ReadExReq_avg_miss_latency::cpu.data 101876.712329 # average ReadExReq miss latency
-system.l2cache.ReadExReq_avg_miss_latency::total 101876.712329 # average ReadExReq miss latency
-system.l2cache.ReadSharedReq_avg_miss_latency::cpu.inst 104629.496403 # average ReadSharedReq miss latency
-system.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 103010.526316 # average ReadSharedReq miss latency
-system.l2cache.ReadSharedReq_avg_miss_latency::total 104217.158177 # average ReadSharedReq miss latency
-system.l2cache.demand_avg_miss_latency::cpu.inst 104629.496403 # average overall miss latency
-system.l2cache.demand_avg_miss_latency::cpu.data 102517.857143 # average overall miss latency
-system.l2cache.demand_avg_miss_latency::total 103834.080717 # average overall miss latency
-system.l2cache.overall_avg_miss_latency::cpu.inst 104629.496403 # average overall miss latency
-system.l2cache.overall_avg_miss_latency::cpu.data 102517.857143 # average overall miss latency
-system.l2cache.overall_avg_miss_latency::total 103834.080717 # average overall miss latency
-system.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
-system.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
-system.l2cache.blocked::no_targets 0 # number of cycles access was blocked
-system.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
-system.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
-system.l2cache.ReadExReq_mshr_misses::cpu.data 73 # number of ReadExReq MSHR misses
-system.l2cache.ReadExReq_mshr_misses::total 73 # number of ReadExReq MSHR misses
-system.l2cache.ReadSharedReq_mshr_misses::cpu.inst 278 # number of ReadSharedReq MSHR misses
-system.l2cache.ReadSharedReq_mshr_misses::cpu.data 95 # number of ReadSharedReq MSHR misses
-system.l2cache.ReadSharedReq_mshr_misses::total 373 # number of ReadSharedReq MSHR misses
-system.l2cache.demand_mshr_misses::cpu.inst 278 # number of demand (read+write) MSHR misses
-system.l2cache.demand_mshr_misses::cpu.data 168 # number of demand (read+write) MSHR misses
-system.l2cache.demand_mshr_misses::total 446 # number of demand (read+write) MSHR misses
-system.l2cache.overall_mshr_misses::cpu.inst 278 # number of overall MSHR misses
-system.l2cache.overall_mshr_misses::cpu.data 168 # number of overall MSHR misses
-system.l2cache.overall_mshr_misses::total 446 # number of overall MSHR misses
-system.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5977000 # number of ReadExReq MSHR miss cycles
-system.l2cache.ReadExReq_mshr_miss_latency::total 5977000 # number of ReadExReq MSHR miss cycles
-system.l2cache.ReadSharedReq_mshr_miss_latency::cpu.inst 23527000 # number of ReadSharedReq MSHR miss cycles
-system.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 7886000 # number of ReadSharedReq MSHR miss cycles
-system.l2cache.ReadSharedReq_mshr_miss_latency::total 31413000 # number of ReadSharedReq MSHR miss cycles
-system.l2cache.demand_mshr_miss_latency::cpu.inst 23527000 # number of demand (read+write) MSHR miss cycles
-system.l2cache.demand_mshr_miss_latency::cpu.data 13863000 # number of demand (read+write) MSHR miss cycles
-system.l2cache.demand_mshr_miss_latency::total 37390000 # number of demand (read+write) MSHR miss cycles
-system.l2cache.overall_mshr_miss_latency::cpu.inst 23527000 # number of overall MSHR miss cycles
-system.l2cache.overall_mshr_miss_latency::cpu.data 13863000 # number of overall MSHR miss cycles
-system.l2cache.overall_mshr_miss_latency::total 37390000 # number of overall MSHR miss cycles
-system.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
-system.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.l2cache.ReadSharedReq_mshr_miss_rate::cpu.inst 0.989324 # mshr miss rate for ReadSharedReq accesses
-system.l2cache.ReadSharedReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadSharedReq accesses
-system.l2cache.ReadSharedReq_mshr_miss_rate::total 0.992021 # mshr miss rate for ReadSharedReq accesses
-system.l2cache.demand_mshr_miss_rate::cpu.inst 0.989324 # mshr miss rate for demand accesses
-system.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
-system.l2cache.demand_mshr_miss_rate::total 0.993318 # mshr miss rate for demand accesses
-system.l2cache.overall_mshr_miss_rate::cpu.inst 0.989324 # mshr miss rate for overall accesses
-system.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
-system.l2cache.overall_mshr_miss_rate::total 0.993318 # mshr miss rate for overall accesses
-system.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 81876.712329 # average ReadExReq mshr miss latency
-system.l2cache.ReadExReq_avg_mshr_miss_latency::total 81876.712329 # average ReadExReq mshr miss latency
-system.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.inst 84629.496403 # average ReadSharedReq mshr miss latency
-system.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 83010.526316 # average ReadSharedReq mshr miss latency
-system.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 84217.158177 # average ReadSharedReq mshr miss latency
-system.l2cache.demand_avg_mshr_miss_latency::cpu.inst 84629.496403 # average overall mshr miss latency
-system.l2cache.demand_avg_mshr_miss_latency::cpu.data 82517.857143 # average overall mshr miss latency
-system.l2cache.demand_avg_mshr_miss_latency::total 83834.080717 # average overall mshr miss latency
-system.l2cache.overall_avg_mshr_miss_latency::cpu.inst 84629.496403 # average overall mshr miss latency
-system.l2cache.overall_avg_mshr_miss_latency::cpu.data 82517.857143 # average overall mshr miss latency
-system.l2cache.overall_avg_mshr_miss_latency::total 83834.080717 # average overall mshr miss latency
-system.membus.snoop_filter.tot_requests 446 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 0 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
-system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
-system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 64758000 # Cumulative time (in ticks) in various power states
-system.membus.trans_dist::ReadResp 373 # Transaction distribution
-system.membus.trans_dist::ReadExReq 73 # Transaction distribution
-system.membus.trans_dist::ReadExResp 73 # Transaction distribution
-system.membus.trans_dist::ReadSharedReq 373 # Transaction distribution
-system.membus.pkt_count_system.l2cache.mem_side::system.mem_ctrl.port 892 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 892 # Packet count per connected master and slave (bytes)
-system.membus.pkt_size_system.l2cache.mem_side::system.mem_ctrl.port 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.pkt_size::total 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.snoops 0 # Total snoops (count)
-system.membus.snoopTraffic 0 # Total snoop traffic (bytes)
-system.membus.snoop_fanout::samples 446 # Request fanout histogram
-system.membus.snoop_fanout::mean 0 # Request fanout histogram
-system.membus.snoop_fanout::stdev 0 # Request fanout histogram
-system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 446 100.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
-system.membus.snoop_fanout::min_value 0 # Request fanout histogram
-system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 446 # Request fanout histogram
-system.membus.reqLayer0.occupancy 446000 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 0.7 # Layer utilization (%)
-system.membus.respLayer0.occupancy 2377500 # Layer occupancy (ticks)
-system.membus.respLayer0.utilization 3.7 # Layer utilization (%)
-
----------- End Simulation Statistics ----------
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/EMPTY b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/EMPTY
deleted file mode 100644
index e69de29bb..000000000
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/EMPTY
+++ /dev/null
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/EMPTY b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/EMPTY
deleted file mode 100644
index e69de29bb..000000000
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/EMPTY
+++ /dev/null
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/EMPTY b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/EMPTY
deleted file mode 100644
index e69de29bb..000000000
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/EMPTY
+++ /dev/null
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/EMPTY b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/EMPTY
deleted file mode 100644
index e69de29bb..000000000
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/EMPTY
+++ /dev/null
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/EMPTY b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/EMPTY
deleted file mode 100644
index e69de29bb..000000000
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/EMPTY
+++ /dev/null