summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/communication/sc_export/test02/test02.cpp
blob: f044fc741c2254ba5ef829bda71e4e116cf4533b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
#include "systemc.h"

SC_MODULE(X)
{
	SC_CTOR(X)
	{
		a(b);
	}
	sc_export<sc_signal_inout_if<int> > a;
	sc_export<sc_signal_inout_if<int> > b;
};

int sc_main(int argc, char* argv[])
{
	sc_clock clock;
	X        x("x");

	sc_start(1, SC_NS);
	return 0;
}