summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/communication/sc_export/test04/test04.cpp
blob: 6a2b214214cfb33ef0a4fea149b2690b4eb53b2c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
#include "systemc.h"

SC_MODULE(X)
{
	SC_CTOR(X) : a("a")
	{
	}
	sc_export<sc_signal_inout_if<int> > a;
};

int sc_main(int argc, char* argv[])
{
	X        x("x");

	sc_start(1, SC_NS);
	return 0;
}