summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/dynamic_processes/sc_barrier/test01/test01.cpp
blob: 5b8c8a8fe0b4ac8ee3ba380d67f3874db325b791 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
#include "systemc.h"
#include "sc_barrier.h"
using sc_dp::sc_barrier;

SC_MODULE(X)
{
	SC_CTOR(X)
	{
		sc_thread_handle last_thread;

		SC_THREAD(a);
		SC_THREAD(b);
		SC_THREAD(c);

		m_barrier.initialize(3);
	}
	void a()
	{
		wait(5.0, SC_NS);
		m_barrier.wait();
		cout << sc_time_stamp() << " - a" << endl;
	}
	void b()
	{
		wait(11.0, SC_NS);
		m_barrier.wait();
		cout << sc_time_stamp() << " - b" << endl;
	}
	void c()
	{
		m_barrier.wait();
		cout << sc_time_stamp() << " - c" << endl;
	}
	sc_barrier   m_barrier;
};

int sc_main( int argc, char* argv[] )
{
	sc_clock clock;
	X x("x");

	sc_start(1000, SC_NS);

	cerr << "Program completed" << endl;
	return 0;
}