summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_sensitive/test04/test04.cpp
blob: 7892cd28a98885a00c1c11dd4e8c4624c8338945 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
#include "systemc.h"

SC_MODULE(X)
{
	SC_CTOR(X)
	{
		SC_THREAD(able);
		sensitive << clk.pos();
	}
		
	void able()
	{
		for (;;)
		{
			wait();
			sensitive << clk.posedge_event();
			cout << "able: " << sc_time_stamp() << endl;
		}
	}
	sc_in_clk clk;
};

int sc_main(int argc, char* argv[])
{
	sc_clock clock;
	X        x("x");
	x.clk(clock);

	sc_start(100, SC_NS);
	return 0;
}