summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_sensitive/test05/test05.cpp
blob: fc2a8b21d727a461852c67de62b84863ed300184 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
#include "systemc.h"

SC_MODULE(TB)
{
	SC_CTOR(TB)
	{
		SC_THREAD(exec);
		sensitive << m_clk.pos();
	}
	void exec()
	{
		for (;;)
		{
			wait(2);
			cout << sc_time_stamp() << endl;
			wait(4);
			cout << sc_time_stamp() << endl;
			wait(1);
			cout << sc_time_stamp() << endl;
			wait(1000);
			cout << sc_time_stamp() << endl;
			sc_stop();
		}
	}
	sc_in_clk m_clk;
};

int sc_main( int, char*[] )
{
	sc_clock clock;
    TB       tb("tb");

	tb.m_clk(clock);
	sc_start(2000, SC_NS);

    return 0;
}