summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/kernel/sc_simcontext/sc_delta_count/sc_delta_count.cpp
blob: 087e7157e00a87d3103ac5383792c543f3a03467 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
#include "systemc.h"

SC_MODULE(TB)
{
	SC_CTOR(TB)
	{
		SC_METHOD(method)
		sensitive << m_flipper;
		SC_THREAD(thread)
	}
	void method()
	{
	}
	void thread()
	{
		m_flipper = !m_flipper;
		wait(2, SC_NS);
		cout << sc_delta_count() << endl;
		m_flipper = !m_flipper;
		wait(3, SC_NS);
		cout << sc_delta_count() << endl;
		sc_stop();
	}
	sc_signal<bool> m_flipper;
};

int sc_main(int argc, char* argv[])
{
	TB		tb("tb");
	sc_start();
	cout << "Program completed after " << sc_time_stamp() << endl;
	return 0;
}