summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/misc/sim_tests/popc/popc.cpp
blob: 975b9728cfbf58fc0f34a95745c33f48fbc3bedc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
/*****************************************************************************

  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
  more contributor license agreements.  See the NOTICE file distributed
  with this work for additional information regarding copyright ownership.
  Accellera licenses this file to you under the Apache License, Version 2.0
  (the "License"); you may not use this file except in compliance with the
  License.  You may obtain a copy of the License at

    http://www.apache.org/licenses/LICENSE-2.0

  Unless required by applicable law or agreed to in writing, software
  distributed under the License is distributed on an "AS IS" BASIS,
  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
  implied.  See the License for the specific language governing
  permissions and limitations under the License.

 *****************************************************************************/

/*****************************************************************************

  popc.cpp -- 

  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15

 *****************************************************************************/

/*****************************************************************************

  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
  changes you are making here.

      Name, Affiliation, Date:
  Description of Modification:

 *****************************************************************************/

#include "systemc.h"

/*
 * Test bench
 */

SC_MODULE( proc1 )
{
  SC_HAS_PROCESS( proc1 );

  sc_in_clk clk;

  // Inputs
  sc_in<bool> data_ack;
  sc_in<int>  popc;
  // Outputs
  sc_out<bool> reset;
  sc_out<bool> data_ready;
  sc_out<int>  in;

  // Constructor
  proc1( sc_module_name NAME,
	 sc_clock& CLK,
	 sc_signal<bool>& DATA_ACK,
         sc_signal<int>& POPC,
         sc_signal<bool>& RESET,
	 sc_signal<bool>& DATA_READY,
         sc_signal<int>& IN_ )
  {
    clk(CLK);
    data_ack(DATA_ACK); popc(POPC);
    reset(RESET); data_ready(DATA_READY); in(IN_);
	SC_CTHREAD( entry, clk.pos() );
  }

  // Process functionality goes here
  void entry();
};

/*
 * popc - The process doing the population count
 *
 */

SC_MODULE( proc2 )
{
  SC_HAS_PROCESS( proc2 );

  sc_in_clk clk;

  // Inputs
  sc_in<bool> reset;
  sc_in<bool> data_ready;
  sc_in<int>  in;
  // Outputs
  sc_out<bool> data_ack;
  sc_out<int>  popc;

  // Internal variables
  int c;
  int t;
  int no;

  proc2( sc_module_name NAME,
	 sc_clock& CLK,
         sc_signal<bool>& RESET,
	 sc_signal<bool>& DATA_READY,
         sc_signal<int>& IN_,
	 sc_signal<bool>& DATA_ACK,
         sc_signal<int>& POPC )
  {
    clk(CLK);
    reset(RESET);   
    data_ready(DATA_READY);
    in(IN_);
    data_ack(DATA_ACK);
    popc(POPC);
    SC_CTHREAD( entry, clk.pos() );
    reset_signal_is(reset,true);
    c = 0;
    t = 0;
  }

  // Process functionality
  void entry();
};


/*
 * Testbench functionality
 */

void proc1::entry()
{
    int i;
    int j;

    j = 1;
    i = 0;
    data_ready.write(false);
    reset.write(false);

    wait();

    while(true){
	in.write(j);

        data_ready.write(true);
        do { wait(); } while (data_ack == false);
        data_ready.write(false);
        do { wait(); } while (data_ack == true);

        char buf[BUFSIZ];
        sprintf( buf, "Input: %7d   Population Count: %3d", j, popc.read() );
        cout << buf << endl;

	i++;

	if( i == 3){
		reset.write(true);
		wait();
		reset.write(false);
		wait(2);
	}

	if( i == 16)
	    sc_stop();

	j = (j<<1)|1;
    }
}


/*
 * popc - functionality
 */

void proc2::entry()
{
    // Reset behavior
    no = 0;
    data_ack.write(false);

    wait();
  
    while (true) {
        do { wait(); } while (data_ready == false);

	t = in.read();
	c = 0;
	while( t ){
	    c++;
	    t &= (t-1);
	    wait();
	}

	no++;
        popc.write(c);

        data_ack.write(true);
        do { wait(); } while (data_ready == true);
        data_ack.write(false);
    }
}


int
sc_main(int argc, char *argv[])
{
  sc_signal<bool>  data_ready("Ready");
  sc_signal<bool>  data_ack("Ack");
  sc_signal<int>   in;
  sc_signal<int>   popc;
  sc_signal<bool>  reset;

  sc_clock clock("CLOCK", 10, SC_NS, 0.5, 0.0, SC_NS);

  proc1 TestBench("TestBench", clock, data_ack, popc, reset, data_ready, in);
  proc2 Popc("Popc", clock, reset, data_ready, in,  data_ack, popc);


  // Create trace file
  sc_trace_file *tf = sc_create_vcd_trace_file("tracefile");
  // Trace signals
  sc_trace(tf, data_ready, "data_ready");
  sc_trace(tf, data_ack, "data_ack");
  sc_trace(tf, in, "in");
  sc_trace(tf, popc, "popc");
  sc_trace(tf, reset, "reset");
  // sc_trace(tf, clock.signal(), "Clock");
  sc_trace(tf, clock, "Clock");
  // Trace internal variables
  sc_trace(tf, Popc.t, "Popc.t");
  sc_trace(tf, Popc.c, "Popc.c");
  sc_trace(tf, Popc.no, "Popc.no");

  sc_start();
  return 0;
}