summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/misc/stars/star111657/io_controller1.h
blob: 5d5f311df52ad35cd2707fd7428d388d33139f16 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
/*****************************************************************************

  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
  more contributor license agreements.  See the NOTICE file distributed
  with this work for additional information regarding copyright ownership.
  Accellera licenses this file to you under the Apache License, Version 2.0
  (the "License"); you may not use this file except in compliance with the
  License.  You may obtain a copy of the License at

    http://www.apache.org/licenses/LICENSE-2.0

  Unless required by applicable law or agreed to in writing, software
  distributed under the License is distributed on an "AS IS" BASIS,
  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
  implied.  See the License for the specific language governing
  permissions and limitations under the License.

 *****************************************************************************/

/*****************************************************************************

  io_controller1.h -- 

  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15

 *****************************************************************************/

/*****************************************************************************

  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
  changes you are making here.

      Name, Affiliation, Date:
  Description of Modification:

 *****************************************************************************/

/*
############################################################################
#  Siemens AG                        copyright 2000
#                                    All Rights Reserved
#
#  File name : io_controller.h
# 
#  Title     : I/O-Controller
#  
#  Purpose   : definitions for I/O-Controller-module
#
#  Author    : Hannes Muhr
#              PSE EZE MSA
#
##############################################################################
#  Modification History :
#
#   
##############################################################################*/

#ifndef IO_CONTROLLER_INC
#define IO_CONTROLLER_INC

#ifdef LOGGING
#include <fstream>
#endif
#include "systemc.h"


#ifdef LOGGING
/* stream for logging */
extern ofstream flog;
#endif

#define SCAN_INTERVAL 200000   // 200 us
#define NS *1e-9

#define MII_FRAME_SIZE 400

SC_MODULE(io_controller_m){ 

   /* ports */
   sc_in_clk clk_i486_if;
   
   sc_out<sc_uint<30> > addr30_o1;
   sc_out<sc_uint<30> > addr30_o2;
   sc_inout<sc_uint<32> > data32_i;
   sc_out<sc_uint<32> > data32_o1;
   sc_out<sc_uint<32> > data32_o2;
   sc_out<bool> ads_n_o1;
   sc_out<bool> ads_n_o2;
   sc_out<bool> wr_n_o1;
   sc_out<bool> wr_n_o2;
   sc_in<bool> rdy_n_i;
   sc_in<bool> ar_i;
   sc_in<bool> res_n_i;
   
   sc_out<sc_uint<4> > mii_data4_o;
   sc_out<bool> mii_en_o;
   sc_in<sc_uint<4> > mii_data4_i;
   sc_in<bool> mii_en_i;
   sc_in<bool> mii_coll_det;
   sc_in_clk clk_mii;
   
   /* signals */
   sc_signal<bool> start_mux;
   sc_signal<bool> ready_mux;
   sc_signal<bool> start_read;
   sc_signal<bool> out_fifo_reset;
   
   /* variables */
   sc_uint<32> addr_tx_frame_ptr;
   sc_uint<32> rx_ptr_array;
   sc_signal<bool>  sem1;      // mutual exclusion for i486-if
   sc_signal<bool>  sem2;      // mutual exclusion for i486-if
   sc_uint<32> shared_mem1[MII_FRAME_SIZE];  // for write
   sc_uint<32> shared_mem2[MII_FRAME_SIZE];  // for read
   
   SC_CTOR(io_controller_m){ 
      
      SC_CTHREAD(control_write, clk_i486_if.pos());
      //reset_signal_is(mii_coll_det, true);
	  reset_signal_is(res_n_i, false);
      
      SC_CTHREAD(control_read, clk_i486_if.pos());
      
      SC_CTHREAD(mux, clk_mii.pos());
      SC_CTHREAD(shift, clk_mii.pos());
      
      
      /* Initialize */
      start_mux = 0;
      ready_mux = 0;      
      start_read = 0;
      out_fifo_reset = 0;
   
      sem1 = false;
      sem2 = false;
      // init shared memory
      for (int i=0; i < MII_FRAME_SIZE; i++)
         shared_mem1[i] = shared_mem2[i] = 0;   
   } 
   void control_write();
   void control_read();
   void mux();
   void shift();
   sc_uint<32> read_from_memory0(sc_uint<32>);
   sc_uint<32> read_from_memory1(sc_uint<32>);
   void write_into_memory0(sc_uint<32>, sc_uint<32>);
   void write_into_memory1(sc_uint<32>, sc_uint<32>);
   
}; 

#endif