summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/tracing/vcd_trace/test13/test13.cpp
blob: 1c3333c7800e11225e90c11acb9a216b0fe6c6e7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
#include <systemc.h>
sc_trace_file* sc_tf;

class Mod : public sc_module
{
 public:
  sc_in_clk clk;
  sc_in<sc_uint<37> >     a;

  SC_HAS_PROCESS(Mod);
  void foo()
  {
    cout << sc_time_stamp() << "\n";
    cout << "  a = " << a << "\n";
    cout << "\n";

    return;

  }  // foo()

  Mod(const sc_module_name& name) : sc_module(name), a("a")
  {
    SC_METHOD(foo);
    sensitive << clk.pos();
    dont_initialize();

    sc_trace(sc_tf, a, a.name());
  }

};  // class Mod


int sc_main(int argc, char* argv[])
{
  sc_clock clk("clk", 50, SC_NS, 0.5, 0, SC_NS);
  sc_signal<sc_uint<37> > a;

  sc_tf = sc_create_vcd_trace_file("test13");

  Mod mod("mod");

  mod.clk(clk);
  mod.a(a);

  sc_trace(sc_tf, clk, clk.name());

  sc_start(50, SC_NS);
  a = 12;
  sc_start(50, SC_NS);

  return 0;

}  // sc_main()