summaryrefslogtreecommitdiff
path: root/tests/long/fs/10.linux-boot/ref/x86/linux/pc-switcheroo-full/config.json
blob: 8d20c23c919bf4f335319a33a808785b4f7e00ce (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
{
    "name": null, 
    "sim_quantum": 0, 
    "system": {
        "kernel": "/home/stever/m5/m5_system_2.0b3/binaries/x86_64-vmlinux-2.6.22.9", 
        "mmap_using_noreserve": false, 
        "kernel_addr_check": true, 
        "bridge": {
            "ranges": [
                "3221225472:4294901760", 
                "9223372036854775808:11529215046068469759", 
                "13835058055282163712:18446744073709551615"
            ], 
            "slave": {
                "peer": "system.membus.master[0]", 
                "role": "SLAVE"
            }, 
            "name": "bridge", 
            "req_size": 16, 
            "clk_domain": "system.clk_domain", 
            "delay": 50000, 
            "eventq_index": 0, 
            "master": {
                "peer": "system.iobus.slave[0]", 
                "role": "MASTER"
            }, 
            "cxx_class": "Bridge", 
            "path": "system.bridge", 
            "resp_size": 16, 
            "type": "Bridge"
        }, 
        "iobus": {
            "slave": {
                "peer": [
                    "system.bridge.master", 
                    "system.pc.south_bridge.ide.dma", 
                    "system.pc.south_bridge.io_apic.int_master"
                ], 
                "role": "SLAVE"
            }, 
            "name": "iobus", 
            "default": {
                "peer": "system.pc.pciconfig.pio", 
                "role": "MASTER"
            }, 
            "forward_latency": 1, 
            "clk_domain": "system.clk_domain", 
            "width": 16, 
            "eventq_index": 0, 
            "master": {
                "peer": [
                    "system.apicbridge.slave", 
                    "system.pc.south_bridge.cmos.pio", 
                    "system.pc.south_bridge.dma1.pio", 
                    "system.pc.south_bridge.ide.pio", 
                    "system.pc.south_bridge.ide.config", 
                    "system.pc.south_bridge.keyboard.pio", 
                    "system.pc.south_bridge.pic1.pio", 
                    "system.pc.south_bridge.pic2.pio", 
                    "system.pc.south_bridge.pit.pio", 
                    "system.pc.south_bridge.speaker.pio", 
                    "system.pc.south_bridge.io_apic.pio", 
                    "system.pc.i_dont_exist1.pio", 
                    "system.pc.i_dont_exist2.pio", 
                    "system.pc.behind_pci.pio", 
                    "system.pc.com_1.pio", 
                    "system.pc.fake_com_2.pio", 
                    "system.pc.fake_com_3.pio", 
                    "system.pc.fake_com_4.pio", 
                    "system.pc.fake_floppy.pio", 
                    "system.iocache.cpu_side"
                ], 
                "role": "MASTER"
            }, 
            "response_latency": 2, 
            "cxx_class": "NoncoherentXBar", 
            "path": "system.iobus", 
            "type": "NoncoherentXBar", 
            "use_default_range": false, 
            "frontend_latency": 2
        }, 
        "apicbridge": {
            "ranges": [
                "11529215046068469760:11529215046068473855"
            ], 
            "slave": {
                "peer": "system.iobus.master[0]", 
                "role": "SLAVE"
            }, 
            "name": "apicbridge", 
            "req_size": 16, 
            "clk_domain": "system.clk_domain", 
            "delay": 50000, 
            "eventq_index": 0, 
            "master": {
                "peer": "system.membus.slave[0]", 
                "role": "MASTER"
            }, 
            "cxx_class": "Bridge", 
            "path": "system.apicbridge", 
            "resp_size": 16, 
            "type": "Bridge"
        }, 
        "symbolfile": "", 
        "l2c": {
            "is_top_level": false, 
            "prefetcher": null, 
            "clk_domain": "system.cpu_clk_domain", 
            "write_buffers": 8, 
            "response_latency": 20, 
            "cxx_class": "BaseCache", 
            "size": 4194304, 
            "tags": {
                "name": "tags", 
                "eventq_index": 0, 
                "hit_latency": 20, 
                "clk_domain": "system.cpu_clk_domain", 
                "sequential_access": false, 
                "assoc": 8, 
                "cxx_class": "LRU", 
                "path": "system.l2c.tags", 
                "block_size": 64, 
                "type": "LRU", 
                "size": 4194304
            }, 
            "system": "system", 
            "max_miss_count": 0, 
            "eventq_index": 0, 
            "mem_side": {
                "peer": "system.membus.slave[2]", 
                "role": "MASTER"
            }, 
            "mshrs": 20, 
            "forward_snoops": true, 
            "hit_latency": 20, 
            "demand_mshr_reserve": 1, 
            "tgts_per_mshr": 12, 
            "addr_ranges": [
                "0:18446744073709551615"
            ], 
            "assoc": 8, 
            "prefetch_on_access": false, 
            "path": "system.l2c", 
            "name": "l2c", 
            "type": "BaseCache", 
            "sequential_access": false, 
            "cpu_side": {
                "peer": "system.toL2Bus.master[0]", 
                "role": "SLAVE"
            }, 
            "two_queue": false
        }, 
        "readfile": "/home/stever/hg/m5sim.org/gem5/tests/halt.sh", 
        "intel_mp_table": {
            "oem_table_addr": 0, 
            "name": "intel_mp_table", 
            "ext_entries": [
                {
                    "parent_bus": 0, 
                    "name": "ext_entries", 
                    "type": "X86IntelMPBusHierarchy", 
                    "subtractive_decode": true, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::IntelMP::BusHierarchy", 
                    "path": "system.intel_mp_table.ext_entries", 
                    "bus_id": 1
                }
            ], 
            "oem_id": "", 
            "eventq_index": 0, 
            "spec_rev": 4, 
            "base_entries": [
                {
                    "enable": true, 
                    "local_apic_version": 20, 
                    "name": "base_entries00", 
                    "family": 0, 
                    "local_apic_id": 0, 
                    "bootstrap": true, 
                    "feature_flags": 0, 
                    "eventq_index": 0, 
                    "stepping": 0, 
                    "cxx_class": "X86ISA::IntelMP::Processor", 
                    "path": "system.intel_mp_table.base_entries00", 
                    "model": 0, 
                    "type": "X86IntelMPProcessor"
                }, 
                {
                    "enable": true, 
                    "name": "base_entries01", 
                    "cxx_class": "X86ISA::IntelMP::IOAPIC", 
                    "version": 17, 
                    "eventq_index": 0, 
                    "address": 4273995776, 
                    "path": "system.intel_mp_table.base_entries01", 
                    "type": "X86IntelMPIOAPIC", 
                    "id": 1
                }, 
                {
                    "bus_type": "PCI", 
                    "name": "base_entries02", 
                    "type": "X86IntelMPBus", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::IntelMP::Bus", 
                    "path": "system.intel_mp_table.base_entries02", 
                    "bus_id": 0
                }, 
                {
                    "bus_type": "ISA", 
                    "name": "base_entries03", 
                    "type": "X86IntelMPBus", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::IntelMP::Bus", 
                    "path": "system.intel_mp_table.base_entries03", 
                    "bus_id": 1
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries04", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 0, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 16, 
                    "path": "system.intel_mp_table.base_entries04", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 16
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries05", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries05", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 0
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries06", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 2, 
                    "path": "system.intel_mp_table.base_entries06", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 0
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries07", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries07", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 1
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries08", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 1, 
                    "path": "system.intel_mp_table.base_entries08", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 1
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries09", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries09", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 3
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries10", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 3, 
                    "path": "system.intel_mp_table.base_entries10", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 3
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries11", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries11", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 4
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries12", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 4, 
                    "path": "system.intel_mp_table.base_entries12", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 4
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries13", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries13", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 5
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries14", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 5, 
                    "path": "system.intel_mp_table.base_entries14", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 5
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries15", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries15", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 6
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries16", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 6, 
                    "path": "system.intel_mp_table.base_entries16", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 6
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries17", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries17", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 7
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries18", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 7, 
                    "path": "system.intel_mp_table.base_entries18", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 7
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries19", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries19", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 8
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries20", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 8, 
                    "path": "system.intel_mp_table.base_entries20", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 8
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries21", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries21", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 9
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries22", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 9, 
                    "path": "system.intel_mp_table.base_entries22", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 9
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries23", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries23", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 10
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries24", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 10, 
                    "path": "system.intel_mp_table.base_entries24", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 10
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries25", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries25", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 11
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries26", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 11, 
                    "path": "system.intel_mp_table.base_entries26", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 11
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries27", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries27", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 12
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries28", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 12, 
                    "path": "system.intel_mp_table.base_entries28", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 12
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries29", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries29", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 13
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries30", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 13, 
                    "path": "system.intel_mp_table.base_entries30", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 13
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries31", 
                    "interrupt_type": "ExtInt", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 0, 
                    "path": "system.intel_mp_table.base_entries31", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 14
                }, 
                {
                    "polarity": "ConformPolarity", 
                    "dest_io_apic_id": 1, 
                    "name": "base_entries32", 
                    "interrupt_type": "INT", 
                    "trigger": "ConformTrigger", 
                    "eventq_index": 0, 
                    "source_bus_id": 1, 
                    "cxx_class": "X86ISA::IntelMP::IOIntAssignment", 
                    "dest_io_apic_intin": 14, 
                    "path": "system.intel_mp_table.base_entries32", 
                    "type": "X86IntelMPIOIntAssignment", 
                    "source_bus_irq": 14
                }
            ], 
            "cxx_class": "X86ISA::IntelMP::ConfigTable", 
            "path": "system.intel_mp_table", 
            "type": "X86IntelMPConfigTable", 
            "local_apic": 4276092928, 
            "oem_table_size": 0, 
            "product_id": ""
        }, 
        "cxx_class": "LinuxX86System", 
        "load_offset": 0, 
        "iocache": {
            "is_top_level": true, 
            "prefetcher": null, 
            "clk_domain": "system.clk_domain", 
            "write_buffers": 8, 
            "response_latency": 50, 
            "cxx_class": "BaseCache", 
            "size": 1024, 
            "tags": {
                "name": "tags", 
                "eventq_index": 0, 
                "hit_latency": 50, 
                "clk_domain": "system.clk_domain", 
                "sequential_access": false, 
                "assoc": 8, 
                "cxx_class": "LRU", 
                "path": "system.iocache.tags", 
                "block_size": 64, 
                "type": "LRU", 
                "size": 1024
            }, 
            "system": "system", 
            "max_miss_count": 0, 
            "eventq_index": 0, 
            "mem_side": {
                "peer": "system.membus.slave[4]", 
                "role": "MASTER"
            }, 
            "mshrs": 20, 
            "forward_snoops": false, 
            "hit_latency": 50, 
            "demand_mshr_reserve": 1, 
            "tgts_per_mshr": 12, 
            "addr_ranges": [
                "0:134217727"
            ], 
            "assoc": 8, 
            "prefetch_on_access": false, 
            "path": "system.iocache", 
            "name": "iocache", 
            "type": "BaseCache", 
            "sequential_access": false, 
            "cpu_side": {
                "peer": "system.iobus.master[19]", 
                "role": "SLAVE"
            }, 
            "two_queue": false
        }, 
        "intel_mp_pointer": {
            "imcr_present": true, 
            "name": "intel_mp_pointer", 
            "spec_rev": 4, 
            "eventq_index": 0, 
            "cxx_class": "X86ISA::IntelMP::FloatingPointer", 
            "path": "system.intel_mp_pointer", 
            "type": "X86IntelMPFloatingPointer", 
            "default_config": 0
        }, 
        "memories": [
            "system.physmem"
        ], 
        "acpi_description_table_pointer": {
            "name": "acpi_description_table_pointer", 
            "cxx_class": "X86ISA::ACPI::RSDP", 
            "xsdt": {
                "oem_table_id": "", 
                "name": "xsdt", 
                "entries": [], 
                "creator_revision": 0, 
                "creator_id": "", 
                "oem_id": "", 
                "eventq_index": 0, 
                "cxx_class": "X86ISA::ACPI::XSDT", 
                "path": "system.acpi_description_table_pointer.xsdt", 
                "oem_revision": 0, 
                "type": "X86ACPIXSDT"
            }, 
            "rsdt": null, 
            "eventq_index": 0, 
            "oem_id": "", 
            "path": "system.acpi_description_table_pointer", 
            "type": "X86ACPIRSDP", 
            "revision": 2
        }, 
        "clk_domain": {
            "name": "clk_domain", 
            "clock": [
                1000
            ], 
            "init_perf_level": 0, 
            "voltage_domain": "system.voltage_domain", 
            "eventq_index": 0, 
            "cxx_class": "SrcClockDomain", 
            "path": "system.clk_domain", 
            "type": "SrcClockDomain", 
            "domain_id": -1
        }, 
        "mem_ranges": [
            "0:134217727"
        ], 
        "membus": {
            "default": {
                "peer": "system.membus.badaddr_responder.pio", 
                "role": "MASTER"
            }, 
            "slave": {
                "peer": [
                    "system.apicbridge.master", 
                    "system.system_port", 
                    "system.l2c.mem_side", 
                    "system.cpu0.interrupts.int_master", 
                    "system.iocache.mem_side"
                ], 
                "role": "SLAVE"
            }, 
            "name": "membus", 
            "badaddr_responder": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "badaddr_responder", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.membus.default", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": true, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 8, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.membus.badaddr_responder", 
                "pio_addr": 0, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "snoop_filter": null, 
            "forward_latency": 4, 
            "clk_domain": "system.clk_domain", 
            "system": "system", 
            "width": 16, 
            "eventq_index": 0, 
            "master": {
                "peer": [
                    "system.bridge.slave", 
                    "system.cpu0.interrupts.pio", 
                    "system.cpu0.interrupts.int_slave", 
                    "system.physmem.port"
                ], 
                "role": "MASTER"
            }, 
            "response_latency": 2, 
            "cxx_class": "CoherentXBar", 
            "path": "system.membus", 
            "snoop_response_latency": 4, 
            "type": "CoherentXBar", 
            "use_default_range": false, 
            "frontend_latency": 3
        }, 
        "pc": {
            "fake_com_4": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "fake_com_4", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[17]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 8, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.fake_com_4", 
                "pio_addr": 9223372036854776552, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "pciconfig": {
                "name": "pciconfig", 
                "pio": {
                    "peer": "system.iobus.default", 
                    "role": "SLAVE"
                }, 
                "bus": 0, 
                "pio_latency": 30000, 
                "clk_domain": "system.clk_domain", 
                "system": "system", 
                "platform": "system.pc", 
                "eventq_index": 0, 
                "cxx_class": "PciConfigAll", 
                "path": "system.pc.pciconfig", 
                "pio_addr": 0, 
                "type": "PciConfigAll", 
                "size": 16777216
            }, 
            "fake_com_2": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "fake_com_2", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[15]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 8, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.fake_com_2", 
                "pio_addr": 9223372036854776568, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "name": "pc", 
            "south_bridge": {
                "int_lines": [
                    {
                        "name": "int_lines0", 
                        "source": "system.pc.south_bridge.pic1.output", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 0, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.io_apic", 
                            "path": "system.pc.south_bridge.int_lines0.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines0", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines1", 
                        "source": "system.pc.south_bridge.pic2.output", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 2, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.pic1", 
                            "path": "system.pc.south_bridge.int_lines1.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines1", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines2", 
                        "source": "system.pc.south_bridge.cmos.int_pin", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 0, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.pic2", 
                            "path": "system.pc.south_bridge.int_lines2.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines2", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines3", 
                        "source": "system.pc.south_bridge.pit.int_pin", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 0, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.pic1", 
                            "path": "system.pc.south_bridge.int_lines3.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines3", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines4", 
                        "source": "system.pc.south_bridge.pit.int_pin", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 2, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.io_apic", 
                            "path": "system.pc.south_bridge.int_lines4.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines4", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines5", 
                        "source": "system.pc.south_bridge.keyboard.keyboard_int_pin", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 1, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.io_apic", 
                            "path": "system.pc.south_bridge.int_lines5.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines5", 
                        "type": "X86IntLine"
                    }, 
                    {
                        "name": "int_lines6", 
                        "source": "system.pc.south_bridge.keyboard.mouse_int_pin", 
                        "eventq_index": 0, 
                        "sink": {
                            "name": "sink", 
                            "number": 12, 
                            "eventq_index": 0, 
                            "cxx_class": "X86ISA::IntSinkPin", 
                            "device": "system.pc.south_bridge.io_apic", 
                            "path": "system.pc.south_bridge.int_lines6.sink", 
                            "type": "X86IntSinkPin"
                        }, 
                        "cxx_class": "X86ISA::IntLine", 
                        "path": "system.pc.south_bridge.int_lines6", 
                        "type": "X86IntLine"
                    }
                ], 
                "name": "south_bridge", 
                "speaker": {
                    "name": "speaker", 
                    "pio": {
                        "peer": "system.iobus.master[9]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::Speaker", 
                    "path": "system.pc.south_bridge.speaker", 
                    "pio_addr": 9223372036854775905, 
                    "type": "PcSpeaker", 
                    "i8254": "system.pc.south_bridge.pit"
                }, 
                "keyboard": {
                    "system": "system", 
                    "command_port": 9223372036854775908, 
                    "name": "keyboard", 
                    "pio": {
                        "peer": "system.iobus.master[5]", 
                        "role": "SLAVE"
                    }, 
                    "mouse_int_pin": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.keyboard.mouse_int_pin", 
                        "type": "X86IntSourcePin", 
                        "name": "mouse_int_pin", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "keyboard_int_pin": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.keyboard.keyboard_int_pin", 
                        "type": "X86IntSourcePin", 
                        "name": "keyboard_int_pin", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::I8042", 
                    "path": "system.pc.south_bridge.keyboard", 
                    "pio_addr": 0, 
                    "data_port": 9223372036854775904, 
                    "type": "I8042"
                }, 
                "pit": {
                    "name": "pit", 
                    "pio": {
                        "peer": "system.iobus.master[8]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "int_pin": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.pit.int_pin", 
                        "type": "X86IntSourcePin", 
                        "name": "int_pin", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::I8254", 
                    "path": "system.pc.south_bridge.pit", 
                    "pio_addr": 9223372036854775872, 
                    "type": "I8254"
                }, 
                "io_apic": {
                    "int_master": {
                        "peer": "system.iobus.slave[2]", 
                        "role": "MASTER"
                    }, 
                    "name": "io_apic", 
                    "pio": {
                        "peer": "system.iobus.master[10]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "external_int_pic": "system.pc.south_bridge.pic1", 
                    "system": "system", 
                    "apic_id": 1, 
                    "int_latency": 1000, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::I82094AA", 
                    "path": "system.pc.south_bridge.io_apic", 
                    "pio_addr": 4273995776, 
                    "type": "I82094AA"
                }, 
                "pic1": {
                    "slave": "system.pc.south_bridge.pic2", 
                    "name": "pic1", 
                    "output": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.pic1.output", 
                        "type": "X86IntSourcePin", 
                        "name": "output", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "pio": {
                        "peer": "system.iobus.master[6]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "mode": "I8259Master", 
                    "cxx_class": "X86ISA::I8259", 
                    "path": "system.pc.south_bridge.pic1", 
                    "pio_addr": 9223372036854775840, 
                    "type": "I8259"
                }, 
                "pic2": {
                    "slave": null, 
                    "name": "pic2", 
                    "output": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.pic2.output", 
                        "type": "X86IntSourcePin", 
                        "name": "output", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "pio": {
                        "peer": "system.iobus.master[7]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "mode": "I8259Slave", 
                    "cxx_class": "X86ISA::I8259", 
                    "path": "system.pc.south_bridge.pic2", 
                    "pio_addr": 9223372036854775968, 
                    "type": "I8259"
                }, 
                "platform": "system.pc", 
                "dma1": {
                    "name": "dma1", 
                    "pio": {
                        "peer": "system.iobus.master[2]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::I8237", 
                    "path": "system.pc.south_bridge.dma1", 
                    "pio_addr": 9223372036854775808, 
                    "type": "I8237"
                }, 
                "eventq_index": 0, 
                "cxx_class": "SouthBridge", 
                "path": "system.pc.south_bridge", 
                "ide": {
                    "PMCAPNextCapability": 0, 
                    "InterruptPin": 1, 
                    "HeaderType": 0, 
                    "VendorID": 32902, 
                    "MSIXMsgCtrl": 0, 
                    "MSIXCAPNextCapability": 0, 
                    "PXCAPLinkCtrl": 0, 
                    "Revision": 0, 
                    "LegacyIOBase": 9223372036854775808, 
                    "pio_latency": 30000, 
                    "platform": "system.pc", 
                    "PXCAPLinkCap": 0, 
                    "CapabilityPtr": 0, 
                    "MSIXCAPBaseOffset": 0, 
                    "PXCAPDevCapabilities": 0, 
                    "MSIXCAPCapId": 0, 
                    "BAR3Size": 3, 
                    "PXCAPCapabilities": 0, 
                    "SubsystemID": 0, 
                    "PXCAPCapId": 0, 
                    "BAR4": 1, 
                    "BAR1": 1012, 
                    "BAR0": 496, 
                    "BAR3": 884, 
                    "BAR2": 368, 
                    "BAR5": 1, 
                    "PXCAPDevStatus": 0, 
                    "disks": [
                        {
                            "driveID": "master", 
                            "name": "disks0", 
                            "image": {
                                "read_only": false, 
                                "name": "image", 
                                "cxx_class": "CowDiskImage", 
                                "eventq_index": 0, 
                                "child": {
                                    "read_only": true, 
                                    "name": "child", 
                                    "eventq_index": 0, 
                                    "cxx_class": "RawDiskImage", 
                                    "path": "system.pc.south_bridge.ide.disks0.image.child", 
                                    "image_file": "/home/stever/m5/m5_system_2.0b3/disks/linux-x86.img", 
                                    "type": "RawDiskImage"
                                }, 
                                "path": "system.pc.south_bridge.ide.disks0.image", 
                                "image_file": "", 
                                "type": "CowDiskImage", 
                                "table_size": 65536
                            }, 
                            "delay": 1000000, 
                            "eventq_index": 0, 
                            "cxx_class": "IdeDisk", 
                            "path": "system.pc.south_bridge.ide.disks0", 
                            "type": "IdeDisk"
                        }, 
                        {
                            "driveID": "master", 
                            "name": "disks1", 
                            "image": {
                                "read_only": false, 
                                "name": "image", 
                                "cxx_class": "CowDiskImage", 
                                "eventq_index": 0, 
                                "child": {
                                    "read_only": true, 
                                    "name": "child", 
                                    "eventq_index": 0, 
                                    "cxx_class": "RawDiskImage", 
                                    "path": "system.pc.south_bridge.ide.disks1.image.child", 
                                    "image_file": "/home/stever/m5/m5_system_2.0b3/disks/linux-bigswap2.img", 
                                    "type": "RawDiskImage"
                                }, 
                                "path": "system.pc.south_bridge.ide.disks1.image", 
                                "image_file": "", 
                                "type": "CowDiskImage", 
                                "table_size": 65536
                            }, 
                            "delay": 1000000, 
                            "eventq_index": 0, 
                            "cxx_class": "IdeDisk", 
                            "path": "system.pc.south_bridge.ide.disks1", 
                            "type": "IdeDisk"
                        }
                    ], 
                    "BAR2Size": 8, 
                    "MSICAPNextCapability": 0, 
                    "ExpansionROM": 0, 
                    "MSICAPMsgCtrl": 0, 
                    "BAR5Size": 0, 
                    "CardbusCIS": 0, 
                    "MSIXPbaOffset": 0, 
                    "MSICAPBaseOffset": 0, 
                    "MaximumLatency": 0, 
                    "BAR2LegacyIO": true, 
                    "LatencyTimer": 0, 
                    "BAR4LegacyIO": false, 
                    "PXCAPLinkStatus": 0, 
                    "PXCAPDevCap2": 0, 
                    "PXCAPDevCtrl": 0, 
                    "MSICAPMaskBits": 0, 
                    "Command": 0, 
                    "SubClassCode": 1, 
                    "pci_func": 0, 
                    "BAR5LegacyIO": false, 
                    "MSICAPMsgData": 0, 
                    "BIST": 0, 
                    "PXCAPDevCtrl2": 0, 
                    "pci_bus": 0, 
                    "InterruptLine": 14, 
                    "MSICAPMsgAddr": 0, 
                    "BAR3LegacyIO": true, 
                    "BAR4Size": 16, 
                    "path": "system.pc.south_bridge.ide", 
                    "MinimumGrant": 0, 
                    "Status": 640, 
                    "BAR0Size": 8, 
                    "system": "system", 
                    "name": "ide", 
                    "PXCAPNextCapability": 0, 
                    "eventq_index": 0, 
                    "type": "IdeController", 
                    "ctrl_offset": 0, 
                    "PXCAPBaseOffset": 0, 
                    "DeviceID": 28945, 
                    "io_shift": 0, 
                    "CacheLineSize": 0, 
                    "dma": {
                        "peer": "system.iobus.slave[1]", 
                        "role": "MASTER"
                    }, 
                    "PMCAPCapId": 0, 
                    "config_latency": 20000, 
                    "BAR1Size": 3, 
                    "pio": {
                        "peer": "system.iobus.master[3]", 
                        "role": "SLAVE"
                    }, 
                    "pci_dev": 4, 
                    "PMCAPCtrlStatus": 0, 
                    "cxx_class": "IdeController", 
                    "clk_domain": "system.clk_domain", 
                    "SubsystemVendorID": 0, 
                    "PMCAPBaseOffset": 0, 
                    "config": {
                        "peer": "system.iobus.master[4]", 
                        "role": "SLAVE"
                    }, 
                    "MSICAPPendingBits": 0, 
                    "MSIXTableOffset": 0, 
                    "MSICAPMsgUpperAddr": 0, 
                    "MSICAPCapId": 0, 
                    "BAR0LegacyIO": true, 
                    "ProgIF": 128, 
                    "BAR1LegacyIO": true, 
                    "PMCAPCapabilities": 0, 
                    "ClassCode": 1
                }, 
                "type": "SouthBridge", 
                "cmos": {
                    "name": "cmos", 
                    "pio": {
                        "peer": "system.iobus.master[1]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "time": "Sun Jan  1 00:00:00 2012", 
                    "int_pin": {
                        "eventq_index": 0, 
                        "path": "system.pc.south_bridge.cmos.int_pin", 
                        "type": "X86IntSourcePin", 
                        "name": "int_pin", 
                        "cxx_class": "X86ISA::IntSourcePin"
                    }, 
                    "clk_domain": "system.clk_domain", 
                    "system": "system", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::Cmos", 
                    "path": "system.pc.south_bridge.cmos", 
                    "pio_addr": 9223372036854775920, 
                    "type": "Cmos"
                }
            }, 
            "fake_floppy": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "fake_floppy", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[18]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 2, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.fake_floppy", 
                "pio_addr": 9223372036854776818, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "i_dont_exist2": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "i_dont_exist2", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[12]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 1, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.i_dont_exist2", 
                "pio_addr": 9223372036854776045, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "system": "system", 
            "i_dont_exist1": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "i_dont_exist1", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[11]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 1, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.i_dont_exist1", 
                "pio_addr": 9223372036854775936, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "intrctrl": "system.intrctrl", 
            "com_1": {
                "name": "com_1", 
                "pio": {
                    "peer": "system.iobus.master[14]", 
                    "role": "SLAVE"
                }, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "system": "system", 
                "terminal": {
                    "name": "terminal", 
                    "output": true, 
                    "number": 0, 
                    "intr_control": "system.intrctrl", 
                    "eventq_index": 0, 
                    "cxx_class": "Terminal", 
                    "path": "system.pc.com_1.terminal", 
                    "type": "Terminal", 
                    "port": 3456
                }, 
                "platform": "system.pc", 
                "eventq_index": 0, 
                "cxx_class": "Uart8250", 
                "path": "system.pc.com_1", 
                "pio_addr": 9223372036854776824, 
                "type": "Uart8250"
            }, 
            "eventq_index": 0, 
            "cxx_class": "Pc", 
            "path": "system.pc", 
            "behind_pci": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "behind_pci", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[13]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 8, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.behind_pci", 
                "pio_addr": 9223372036854779128, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }, 
            "type": "Pc", 
            "fake_com_3": {
                "system": "system", 
                "ret_data8": 255, 
                "name": "fake_com_3", 
                "warn_access": "", 
                "pio": {
                    "peer": "system.iobus.master[16]", 
                    "role": "SLAVE"
                }, 
                "ret_bad_addr": false, 
                "pio_latency": 100000, 
                "clk_domain": "system.clk_domain", 
                "fake_mem": false, 
                "pio_size": 8, 
                "ret_data32": 4294967295, 
                "eventq_index": 0, 
                "update_data": false, 
                "ret_data64": 18446744073709551615, 
                "cxx_class": "IsaFake", 
                "path": "system.pc.fake_com_3", 
                "pio_addr": 9223372036854776808, 
                "type": "IsaFake", 
                "ret_data16": 65535
            }
        }, 
        "eventq_index": 0, 
        "e820_table": {
            "name": "e820_table", 
            "cxx_class": "X86ISA::E820Table", 
            "eventq_index": 0, 
            "entries": [
                {
                    "addr": 0, 
                    "range_type": 1, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::E820Entry", 
                    "path": "system.e820_table.entries0", 
                    "size": 654336, 
                    "type": "X86E820Entry", 
                    "name": "entries0"
                }, 
                {
                    "addr": 654336, 
                    "range_type": 2, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::E820Entry", 
                    "path": "system.e820_table.entries1", 
                    "size": 394240, 
                    "type": "X86E820Entry", 
                    "name": "entries1"
                }, 
                {
                    "addr": 1048576, 
                    "range_type": 1, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::E820Entry", 
                    "path": "system.e820_table.entries2", 
                    "size": 133169152, 
                    "type": "X86E820Entry", 
                    "name": "entries2"
                }, 
                {
                    "addr": 134217728, 
                    "range_type": 2, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::E820Entry", 
                    "path": "system.e820_table.entries3", 
                    "size": 3087007744, 
                    "type": "X86E820Entry", 
                    "name": "entries3"
                }, 
                {
                    "addr": 4294901760, 
                    "range_type": 2, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::E820Entry", 
                    "path": "system.e820_table.entries4", 
                    "size": 65536, 
                    "type": "X86E820Entry", 
                    "name": "entries4"
                }
            ], 
            "path": "system.e820_table", 
            "type": "X86E820Table"
        }, 
        "smbios_table": {
            "name": "smbios_table", 
            "structures": [
                {
                    "major": 0, 
                    "vendor": "", 
                    "name": "structures", 
                    "characteristics": [], 
                    "release_date": "06/08/2008", 
                    "cxx_class": "X86ISA::SMBios::BiosInformation", 
                    "emb_cont_firmware_major": 0, 
                    "rom_size": 0, 
                    "starting_addr_segment": 0, 
                    "emb_cont_firmware_minor": 0, 
                    "version": "", 
                    "eventq_index": 0, 
                    "characteristic_ext_bytes": [], 
                    "path": "system.smbios_table.structures", 
                    "type": "X86SMBiosBiosInformation", 
                    "minor": 0
                }
            ], 
            "major_version": 2, 
            "minor_version": 5, 
            "eventq_index": 0, 
            "cxx_class": "X86ISA::SMBios::SMBiosTable", 
            "path": "system.smbios_table", 
            "type": "X86SMBiosSMBiosTable"
        }, 
        "dvfs_handler": {
            "enable": false, 
            "name": "dvfs_handler", 
            "sys_clk_domain": "system.clk_domain", 
            "transition_latency": 100000000, 
            "eventq_index": 0, 
            "cxx_class": "DVFSHandler", 
            "domains": [], 
            "path": "system.dvfs_handler", 
            "type": "DVFSHandler"
        }, 
        "work_end_exit_count": 0, 
        "type": "LinuxX86System", 
        "voltage_domain": {
            "name": "voltage_domain", 
            "eventq_index": 0, 
            "voltage": [
                "1.0"
            ], 
            "cxx_class": "VoltageDomain", 
            "path": "system.voltage_domain", 
            "type": "VoltageDomain"
        }, 
        "cache_line_size": 64, 
        "boot_osflags": "earlyprintk=ttyS0 console=ttyS0 lpj=7999923 root=/dev/hda1", 
        "physmem": [
            {
                "static_frontend_latency": 10000, 
                "tRFC": 260000, 
                "activation_limit": 4, 
                "in_addr_map": true, 
                "IDD3N2": "0.0", 
                "tWTR": 7500, 
                "IDD52": "0.0", 
                "clk_domain": "system.clk_domain", 
                "channels": 1, 
                "write_buffer_size": 64, 
                "device_bus_width": 8, 
                "VDD": "1.5", 
                "write_high_thresh_perc": 85, 
                "cxx_class": "DRAMCtrl", 
                "bank_groups_per_rank": 0, 
                "IDD2N2": "0.0", 
                "port": {
                    "peer": "system.membus.master[3]", 
                    "role": "SLAVE"
                }, 
                "tCCD_L": 0, 
                "IDD2N": "0.05", 
                "null": false, 
                "IDD2P1": "0.0", 
                "eventq_index": 0, 
                "tRRD": 6000, 
                "tRTW": 2500, 
                "IDD4R": "0.187", 
                "burst_length": 8, 
                "tRTP": 7500, 
                "IDD4W": "0.165", 
                "tWR": 15000, 
                "banks_per_rank": 8, 
                "devices_per_rank": 8, 
                "IDD2P02": "0.0", 
                "IDD6": "0.0", 
                "IDD5": "0.22", 
                "tRCD": 13750, 
                "type": "DRAMCtrl", 
                "IDD3P02": "0.0", 
                "IDD0": "0.075", 
                "IDD62": "0.0", 
                "min_writes_per_switch": 16, 
                "mem_sched_policy": "frfcfs", 
                "IDD02": "0.0", 
                "IDD2P0": "0.0", 
                "ranks_per_channel": 2, 
                "page_policy": "open_adaptive", 
                "IDD4W2": "0.0", 
                "tCS": 2500, 
                "tCL": 13750, 
                "read_buffer_size": 32, 
                "conf_table_reported": true, 
                "tCK": 1250, 
                "tRAS": 35000, 
                "tRP": 13750, 
                "tBURST": 5000, 
                "path": "system.physmem", 
                "tXP": 0, 
                "tXS": 0, 
                "addr_mapping": "RoRaBaCoCh", 
                "IDD3P0": "0.0", 
                "IDD3P1": "0.0", 
                "IDD3N": "0.057", 
                "name": "physmem", 
                "tXSDLL": 0, 
                "device_size": 536870912, 
                "dll": true, 
                "tXAW": 30000, 
                "write_low_thresh_perc": 50, 
                "range": "0:134217727", 
                "VDD2": "0.0", 
                "IDD2P12": "0.0", 
                "tRRD_L": 0, 
                "tXPDLL": 0, 
                "IDD4R2": "0.0", 
                "device_rowbuffer_size": 1024, 
                "static_backend_latency": 10000, 
                "max_accesses_per_row": 16, 
                "IDD3P12": "0.0", 
                "tREFI": 7800000
            }
        ], 
        "work_cpus_ckpt_count": 0, 
        "work_begin_exit_count": 0, 
        "path": "system", 
        "cpu_clk_domain": {
            "name": "cpu_clk_domain", 
            "clock": [
                500
            ], 
            "init_perf_level": 0, 
            "voltage_domain": "system.voltage_domain", 
            "eventq_index": 0, 
            "cxx_class": "SrcClockDomain", 
            "path": "system.cpu_clk_domain", 
            "type": "SrcClockDomain", 
            "domain_id": -1
        }, 
        "toL2Bus": {
            "slave": {
                "peer": [
                    "system.cpu0.icache.mem_side", 
                    "system.cpu0.dcache.mem_side", 
                    "system.cpu0.itb.walker.port", 
                    "system.cpu0.dtb.walker.port"
                ], 
                "role": "SLAVE"
            }, 
            "name": "toL2Bus", 
            "snoop_filter": null, 
            "forward_latency": 0, 
            "clk_domain": "system.cpu_clk_domain", 
            "system": "system", 
            "width": 32, 
            "eventq_index": 0, 
            "master": {
                "peer": [
                    "system.l2c.cpu_side"
                ], 
                "role": "MASTER"
            }, 
            "response_latency": 1, 
            "cxx_class": "CoherentXBar", 
            "path": "system.toL2Bus", 
            "snoop_response_latency": 1, 
            "type": "CoherentXBar", 
            "use_default_range": false, 
            "frontend_latency": 1
        }, 
        "work_end_ckpt_count": 0, 
        "mem_mode": "atomic", 
        "name": "system", 
        "init_param": 0, 
        "system_port": {
            "peer": "system.membus.slave[1]", 
            "role": "MASTER"
        }, 
        "load_addr_mask": 18446744073709551615, 
        "cpu": [
            {
                "do_statistics_insts": true, 
                "numThreads": 1, 
                "itb": {
                    "name": "itb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu0.itb.walker", 
                        "type": "X86PagetableWalker", 
                        "port": {
                            "peer": "system.toL2Bus.slave[2]", 
                            "role": "MASTER"
                        }, 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu0.itb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "simulate_data_stalls": false, 
                "function_trace": false, 
                "do_checkpoint_insts": true, 
                "cxx_class": "AtomicSimpleCPU", 
                "max_loads_all_threads": 0, 
                "system": "system", 
                "apic_clk_domain": {
                    "name": "apic_clk_domain", 
                    "clk_domain": "system.cpu_clk_domain", 
                    "eventq_index": 0, 
                    "cxx_class": "DerivedClockDomain", 
                    "path": "system.cpu0.apic_clk_domain", 
                    "type": "DerivedClockDomain", 
                    "clk_divider": 16
                }, 
                "clk_domain": "system.cpu_clk_domain", 
                "function_trace_start": 0, 
                "cpu_id": 0, 
                "width": 1, 
                "checker": null, 
                "eventq_index": 0, 
                "do_quiesce": true, 
                "type": "AtomicSimpleCPU", 
                "fastmem": false, 
                "profile": 0, 
                "icache_port": {
                    "peer": "system.cpu0.icache.cpu_side", 
                    "role": "MASTER"
                }, 
                "icache": {
                    "is_top_level": true, 
                    "prefetcher": null, 
                    "clk_domain": "system.cpu_clk_domain", 
                    "write_buffers": 8, 
                    "response_latency": 2, 
                    "cxx_class": "BaseCache", 
                    "size": 32768, 
                    "tags": {
                        "name": "tags", 
                        "eventq_index": 0, 
                        "hit_latency": 2, 
                        "clk_domain": "system.cpu_clk_domain", 
                        "sequential_access": false, 
                        "assoc": 1, 
                        "cxx_class": "LRU", 
                        "path": "system.cpu0.icache.tags", 
                        "block_size": 64, 
                        "type": "LRU", 
                        "size": 32768
                    }, 
                    "system": "system", 
                    "max_miss_count": 0, 
                    "eventq_index": 0, 
                    "mem_side": {
                        "peer": "system.toL2Bus.slave[0]", 
                        "role": "MASTER"
                    }, 
                    "mshrs": 4, 
                    "forward_snoops": true, 
                    "hit_latency": 2, 
                    "demand_mshr_reserve": 1, 
                    "tgts_per_mshr": 20, 
                    "addr_ranges": [
                        "0:18446744073709551615"
                    ], 
                    "assoc": 1, 
                    "prefetch_on_access": false, 
                    "path": "system.cpu0.icache", 
                    "name": "icache", 
                    "type": "BaseCache", 
                    "sequential_access": false, 
                    "cpu_side": {
                        "peer": "system.cpu0.icache_port", 
                        "role": "SLAVE"
                    }, 
                    "two_queue": false
                }, 
                "interrupts": {
                    "int_master": {
                        "peer": "system.membus.slave[3]", 
                        "role": "MASTER"
                    }, 
                    "name": "interrupts", 
                    "pio": {
                        "peer": "system.membus.master[1]", 
                        "role": "SLAVE"
                    }, 
                    "int_slave": {
                        "peer": "system.membus.master[2]", 
                        "role": "SLAVE"
                    }, 
                    "pio_latency": 100000, 
                    "clk_domain": "system.cpu0.apic_clk_domain", 
                    "system": "system", 
                    "int_latency": 1000, 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::Interrupts", 
                    "path": "system.cpu0.interrupts", 
                    "pio_addr": 2305843009213693952, 
                    "type": "X86LocalApic"
                }, 
                "dcache_port": {
                    "peer": "system.cpu0.dcache.cpu_side", 
                    "role": "MASTER"
                }, 
                "socket_id": 0, 
                "max_insts_all_threads": 0, 
                "path": "system.cpu0", 
                "max_loads_any_thread": 0, 
                "switched_out": false, 
                "workload": [], 
                "name": "cpu0", 
                "dtb": {
                    "name": "dtb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu0.dtb.walker", 
                        "type": "X86PagetableWalker", 
                        "port": {
                            "peer": "system.toL2Bus.slave[3]", 
                            "role": "MASTER"
                        }, 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu0.dtb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "simpoint_start_insts": [], 
                "max_insts_any_thread": 0, 
                "simulate_inst_stalls": false, 
                "progress_interval": 0, 
                "branchPred": null, 
                "dcache": {
                    "is_top_level": true, 
                    "prefetcher": null, 
                    "clk_domain": "system.cpu_clk_domain", 
                    "write_buffers": 8, 
                    "response_latency": 2, 
                    "cxx_class": "BaseCache", 
                    "size": 32768, 
                    "tags": {
                        "name": "tags", 
                        "eventq_index": 0, 
                        "hit_latency": 2, 
                        "clk_domain": "system.cpu_clk_domain", 
                        "sequential_access": false, 
                        "assoc": 4, 
                        "cxx_class": "LRU", 
                        "path": "system.cpu0.dcache.tags", 
                        "block_size": 64, 
                        "type": "LRU", 
                        "size": 32768
                    }, 
                    "system": "system", 
                    "max_miss_count": 0, 
                    "eventq_index": 0, 
                    "mem_side": {
                        "peer": "system.toL2Bus.slave[1]", 
                        "role": "MASTER"
                    }, 
                    "mshrs": 4, 
                    "forward_snoops": true, 
                    "hit_latency": 2, 
                    "demand_mshr_reserve": 1, 
                    "tgts_per_mshr": 20, 
                    "addr_ranges": [
                        "0:18446744073709551615"
                    ], 
                    "assoc": 4, 
                    "prefetch_on_access": false, 
                    "path": "system.cpu0.dcache", 
                    "name": "dcache", 
                    "type": "BaseCache", 
                    "sequential_access": false, 
                    "cpu_side": {
                        "peer": "system.cpu0.dcache_port", 
                        "role": "SLAVE"
                    }, 
                    "two_queue": false
                }, 
                "isa": [
                    {
                        "eventq_index": 0, 
                        "path": "system.cpu0.isa", 
                        "type": "X86ISA", 
                        "name": "isa", 
                        "cxx_class": "X86ISA::ISA"
                    }
                ], 
                "tracer": {
                    "eventq_index": 0, 
                    "path": "system.cpu0.tracer", 
                    "type": "ExeTracer", 
                    "name": "tracer", 
                    "cxx_class": "Trace::ExeTracer"
                }
            }, 
            {
                "do_statistics_insts": true, 
                "numThreads": 1, 
                "itb": {
                    "name": "itb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu1.itb.walker", 
                        "type": "X86PagetableWalker", 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu1.itb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "system": "system", 
                "function_trace": false, 
                "do_checkpoint_insts": true, 
                "cxx_class": "TimingSimpleCPU", 
                "max_loads_all_threads": 0, 
                "clk_domain": "system.cpu_clk_domain", 
                "function_trace_start": 0, 
                "cpu_id": 0, 
                "checker": null, 
                "eventq_index": 0, 
                "do_quiesce": true, 
                "type": "TimingSimpleCPU", 
                "profile": 0, 
                "interrupts": null, 
                "socket_id": 0, 
                "max_insts_all_threads": 0, 
                "path": "system.cpu1", 
                "max_loads_any_thread": 0, 
                "switched_out": true, 
                "workload": [], 
                "name": "cpu1", 
                "dtb": {
                    "name": "dtb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu1.dtb.walker", 
                        "type": "X86PagetableWalker", 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu1.dtb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "simpoint_start_insts": [], 
                "max_insts_any_thread": 0, 
                "progress_interval": 0, 
                "branchPred": null, 
                "isa": [
                    {
                        "eventq_index": 0, 
                        "path": "system.cpu1.isa", 
                        "type": "X86ISA", 
                        "name": "isa", 
                        "cxx_class": "X86ISA::ISA"
                    }
                ], 
                "tracer": {
                    "eventq_index": 0, 
                    "path": "system.cpu1.tracer", 
                    "type": "ExeTracer", 
                    "name": "tracer", 
                    "cxx_class": "Trace::ExeTracer"
                }
            }, 
            {
                "SQEntries": 32, 
                "smtLSQThreshold": 100, 
                "fetchTrapLatency": 1, 
                "iewToRenameDelay": 1, 
                "itb": {
                    "name": "itb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu2.itb.walker", 
                        "type": "X86PagetableWalker", 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu2.itb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "fetchWidth": 8, 
                "max_loads_all_threads": 0, 
                "cpu_id": 0, 
                "fetchToDecodeDelay": 1, 
                "renameToDecodeDelay": 1, 
                "do_quiesce": true, 
                "renameToROBDelay": 1, 
                "max_insts_all_threads": 0, 
                "decodeWidth": 8, 
                "commitToFetchDelay": 1, 
                "needsTSO": true, 
                "smtIQThreshold": 100, 
                "workload": [], 
                "name": "cpu2", 
                "SSITSize": 1024, 
                "activity": 0, 
                "max_loads_any_thread": 0, 
                "tracer": {
                    "eventq_index": 0, 
                    "path": "system.cpu2.tracer", 
                    "type": "ExeTracer", 
                    "name": "tracer", 
                    "cxx_class": "Trace::ExeTracer"
                }, 
                "decodeToFetchDelay": 1, 
                "renameWidth": 8, 
                "numThreads": 1, 
                "squashWidth": 8, 
                "function_trace": false, 
                "backComSize": 5, 
                "decodeToRenameDelay": 1, 
                "store_set_clear_period": 250000, 
                "numPhysIntRegs": 256, 
                "fuPool": {
                    "name": "fuPool", 
                    "FUList": [
                        {
                            "count": 6, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList", 
                                    "eventq_index": 0, 
                                    "opClass": "IntAlu", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList0.opList", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList0", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList0", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 2, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 3, 
                                    "name": "opList0", 
                                    "eventq_index": 0, 
                                    "opClass": "IntMult", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList1.opList0", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 19, 
                                    "opLat": 20, 
                                    "name": "opList1", 
                                    "eventq_index": 0, 
                                    "opClass": "IntDiv", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList1.opList1", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList1", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList1", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 4, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 2, 
                                    "name": "opList0", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatAdd", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList2.opList0", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 2, 
                                    "name": "opList1", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatCmp", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList2.opList1", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 2, 
                                    "name": "opList2", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatCvt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList2.opList2", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList2", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList2", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 2, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 4, 
                                    "name": "opList0", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatMult", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList3.opList0", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 12, 
                                    "opLat": 12, 
                                    "name": "opList1", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatDiv", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList3.opList1", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 24, 
                                    "opLat": 24, 
                                    "name": "opList2", 
                                    "eventq_index": 0, 
                                    "opClass": "FloatSqrt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList3.opList2", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList3", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList3", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 0, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList", 
                                    "eventq_index": 0, 
                                    "opClass": "MemRead", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList4.opList", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList4", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList4", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 4, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList00", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdAdd", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList00", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList01", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdAddAcc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList01", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList02", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdAlu", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList02", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList03", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdCmp", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList03", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList04", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdCvt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList04", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList05", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdMisc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList05", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList06", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdMult", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList06", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList07", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdMultAcc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList07", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList08", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdShift", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList08", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList09", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdShiftAcc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList09", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList10", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdSqrt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList10", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList11", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatAdd", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList11", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList12", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatAlu", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList12", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList13", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatCmp", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList13", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList14", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatCvt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList14", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList15", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatDiv", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList15", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList16", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatMisc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList16", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList17", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatMult", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList17", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList18", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatMultAcc", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList18", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList19", 
                                    "eventq_index": 0, 
                                    "opClass": "SimdFloatSqrt", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList5.opList19", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList5", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList5", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 0, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList", 
                                    "eventq_index": 0, 
                                    "opClass": "MemWrite", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList6.opList", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList6", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList6", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 4, 
                            "opList": [
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList0", 
                                    "eventq_index": 0, 
                                    "opClass": "MemRead", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList7.opList0", 
                                    "type": "OpDesc"
                                }, 
                                {
                                    "issueLat": 1, 
                                    "opLat": 1, 
                                    "name": "opList1", 
                                    "eventq_index": 0, 
                                    "opClass": "MemWrite", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList7.opList1", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList7", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList7", 
                            "type": "FUDesc"
                        }, 
                        {
                            "count": 1, 
                            "opList": [
                                {
                                    "issueLat": 3, 
                                    "opLat": 3, 
                                    "name": "opList", 
                                    "eventq_index": 0, 
                                    "opClass": "IprAccess", 
                                    "cxx_class": "OpDesc", 
                                    "path": "system.cpu2.fuPool.FUList8.opList", 
                                    "type": "OpDesc"
                                }
                            ], 
                            "name": "FUList8", 
                            "eventq_index": 0, 
                            "cxx_class": "FUDesc", 
                            "path": "system.cpu2.fuPool.FUList8", 
                            "type": "FUDesc"
                        }
                    ], 
                    "eventq_index": 0, 
                    "cxx_class": "FUPool", 
                    "path": "system.cpu2.fuPool", 
                    "type": "FUPool"
                }, 
                "socket_id": 0, 
                "renameToFetchDelay": 1, 
                "path": "system.cpu2", 
                "numRobs": 1, 
                "switched_out": true, 
                "smtLSQPolicy": "Partitioned", 
                "fetchBufferSize": 64, 
                "simpoint_start_insts": [], 
                "max_insts_any_thread": 0, 
                "smtROBThreshold": 100, 
                "numIQEntries": 64, 
                "branchPred": {
                    "choiceCtrBits": 2, 
                    "name": "branchPred", 
                    "globalCtrBits": 2, 
                    "numThreads": 1, 
                    "localHistoryTableSize": 2048, 
                    "choicePredictorSize": 8192, 
                    "instShiftAmt": 2, 
                    "localCtrBits": 2, 
                    "eventq_index": 0, 
                    "BTBTagSize": 16, 
                    "BTBEntries": 4096, 
                    "cxx_class": "TournamentBP", 
                    "path": "system.cpu2.branchPred", 
                    "localPredictorSize": 2048, 
                    "type": "TournamentBP", 
                    "RASSize": 16, 
                    "globalPredictorSize": 8192
                }, 
                "LFSTSize": 1024, 
                "isa": [
                    {
                        "eventq_index": 0, 
                        "path": "system.cpu2.isa", 
                        "type": "X86ISA", 
                        "name": "isa", 
                        "cxx_class": "X86ISA::ISA"
                    }
                ], 
                "smtROBPolicy": "Partitioned", 
                "iewToFetchDelay": 1, 
                "do_statistics_insts": true, 
                "dispatchWidth": 8, 
                "commitToDecodeDelay": 1, 
                "smtIQPolicy": "Partitioned", 
                "issueWidth": 8, 
                "LSQCheckLoads": true, 
                "commitToRenameDelay": 1, 
                "cachePorts": 200, 
                "system": "system", 
                "checker": null, 
                "numPhysFloatRegs": 256, 
                "eventq_index": 0, 
                "type": "DerivO3CPU", 
                "wbWidth": 8, 
                "interrupts": null, 
                "smtCommitPolicy": "RoundRobin", 
                "issueToExecuteDelay": 1, 
                "dtb": {
                    "name": "dtb", 
                    "eventq_index": 0, 
                    "cxx_class": "X86ISA::TLB", 
                    "walker": {
                        "name": "walker", 
                        "clk_domain": "system.cpu_clk_domain", 
                        "system": "system", 
                        "eventq_index": 0, 
                        "cxx_class": "X86ISA::Walker", 
                        "path": "system.cpu2.dtb.walker", 
                        "type": "X86PagetableWalker", 
                        "num_squash_per_cycle": 4
                    }, 
                    "path": "system.cpu2.dtb", 
                    "type": "X86TLB", 
                    "size": 64
                }, 
                "numROBEntries": 192, 
                "fetchQueueSize": 32, 
                "iewToCommitDelay": 1, 
                "smtNumFetchingThreads": 1, 
                "forwardComSize": 5, 
                "do_checkpoint_insts": true, 
                "cxx_class": "DerivO3CPU", 
                "commitToIEWDelay": 1, 
                "commitWidth": 8, 
                "clk_domain": "system.cpu_clk_domain", 
                "function_trace_start": 0, 
                "smtFetchPolicy": "SingleThread", 
                "profile": 0, 
                "LSQDepCheckShift": 4, 
                "trapLatency": 13, 
                "iewToDecodeDelay": 1, 
                "numPhysCCRegs": 1280, 
                "renameToIEWDelay": 2, 
                "progress_interval": 0, 
                "LQEntries": 32
            }
        ], 
        "intrctrl": {
            "name": "intrctrl", 
            "sys": "system", 
            "eventq_index": 0, 
            "cxx_class": "IntrControl", 
            "path": "system.intrctrl", 
            "type": "IntrControl"
        }, 
        "work_begin_ckpt_count": 0, 
        "work_begin_cpu_id_exit": -1, 
        "work_item_id": -1, 
        "num_work_ids": 16
    }, 
    "time_sync_period": 100000000000, 
    "eventq_index": 0, 
    "time_sync_spin_threshold": 100000000, 
    "cxx_class": "Root", 
    "path": "root", 
    "time_sync_enable": false, 
    "type": "Root", 
    "full_system": true
}